打印
[RISC-V MCU 应用开发]

''risc v 处理器的FPGA实现工程''这个好像出了一点问题

[复制链接]
1414|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
李浩一|  楼主 | 2022-3-28 19:47 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
问题遇到的现象和发生背景问题相关代码,请勿粘贴截图运行结果及报错内容

[DRC NSTD-1] Unspecified I/O Standard: 39 out of 60 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: ck_io[19:0], led[1:0], btn_1, btn_2, btn_3, ck_miso, ck_mosi, ck_sck, ck_ss, led0_b, led0_g, led0_r, led1_b, led1_g, led1_r... and (the first 15 of 19 listed).

[DRC UCIO-1] Unconstrained Logical Port: 39 out of 60 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined. To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: ck_io[19:0], led[1:0], btn_1, btn_2, btn_3, ck_miso, ck_mosi, ck_sck, ck_ss, led0_b, led0_g, led0_r, led1_b, led1_g, led1_r... and (the first 15 of 19 listed).

[Vivado 12-1345] Error(s) found during DRC. Bitgen not run.

我的解答思路和尝试过的方法我想要达到的结果

使用特权

评论回复
沙发
duo点| | 2022-8-17 10:55 | 只看该作者
这代码就好好用代码框啊

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

43

主题

47

帖子

1

粉丝