打印
[其他ST产品]

stm32驱动NRF24L01_原理+代码解析

[复制链接]
楼主: 自动化陈稳
手机看帖
扫描二维码
随时随地手机跟帖
21
自动化陈稳|  楼主 | 2023-7-8 16:43 | 只看该作者 |只看大图 回帖奖励 |倒序浏览
这个寄存器一共8位,写个0010 0000(32)就是32个字节

使用特权

评论回复
22
自动化陈稳|  楼主 | 2023-7-8 16:43 | 只看该作者
0x11    RX_PW_P0                           0x12   RX_PW_P1                             0x13    RX_PW_P2

0x14    RX_PW_P3                           0x15   RX_PW_P4                             0x16   RX_PW_P5

使用特权

评论回复
23
自动化陈稳|  楼主 | 2023-7-8 16:43 | 只看该作者
*发送流程
1)写Tx 节点的地址 TX_ADDR

2)写Rx 节点的地址(主要是为了使能Auto Ack) RX_ADDR_P0

3)使能AUTO ACK EN_AA

4)使能PIPE 0 EN_RXADDR

5)配置自动重发次数 SETUP_RETR

6)选择通信频率 RF_CH

7)配置发射参数(低噪放大器增益、发射功率、无线速率) RF_SETUP

8)配置24L01 的基本参数以及切换工作模式 CONFIG。

使用特权

评论回复
24
自动化陈稳|  楼主 | 2023-7-8 16:43 | 只看该作者
*接收流程
1)写Rx 节点的地址 RX_ADDR_P0

2)使能AUTO ACK EN_AA

3)使能PIPE 0 EN_RXADDR

4)选择通信频率 RF_CH

5)选择通道0 有效数据宽度 RX_PW_P0

6)配置发射参数(低噪放大器增益、发射功率、无线速率) RF_SETUP

7)配置24L01 的基本参数以及切换工作模式 CONFIG。

使用特权

评论回复
25
自动化陈稳|  楼主 | 2023-7-8 16:43 | 只看该作者
代码解析
*nrf24l01.h中的宏定义
//NRF24L01寄存器操作命令
#define NRF_READ_REG    0x00  //读配置寄存器,低5位为寄存器地址
#define NRF_WRITE_REG   0x20  //写配置寄存器,低5位为寄存器地址
#define RD_RX_PLOAD     0x61  //读RX有效数据,1~32字节
#define WR_TX_PLOAD     0xA0  //写TX有效数据,1~32字节
#define FLUSH_TX        0xE1  //清除TX FIFO寄存器.发射模式下用
#define FLUSH_RX        0xE2  //清除RX FIFO寄存器.接收模式下用
#define REUSE_TX_PL     0xE3  //重新使用上一包数据,CE为高,数据包被不断发送.
#define NOP             0xFF  //空操作,可以用来读状态寄存器
         
//SPI(NRF24L01)寄存器地址
#define CONFIG          0x00  //配置寄存器地址;bit0:1接收模式,0发射模式;bit1:电选
                              //择;bit2:CRC模式;bit3:CRC使能;
                              //bit4:中断MAX_RT(达到最大重发次数中断)使能;bit5:中断TX_DS使
                              //能;bit6:中断RX_DR使能
#define EN_AA           0x01  //使能自动应答功能  bit0~5,对应通道0~5
#define EN_RXADDR       0x02  //接收地址允许,bit0~5,对应通道0~5
#define SETUP_AW        0x03  //设置地址宽度(所有数据通道):bit1,0:00,3字节;01,4字节;02,5字
                              //节;
#define SETUP_RETR      0x04  //建立自动重发;bit3:0,自动重发计数器;bit7:4,自动重发延时
                              //250*x+86us
#define RF_CH           0x05  //RF通道,bit6:0,工作通道频率;
#define RF_SETUP        0x06  //RF寄存器;bit3:传输速率(0:1Mbps,1:2Mbps);bit2:1,发射功
                              //率;bit0:低噪声放大器增益
#define STATUS          0x07  //状态寄存器;bit0:TX FIFO满标志;bit3:1,接收数据通道号(最
                              //大:6);bit4,达到最多次重发
                              //bit5:数据发送完成中断;bit6:接收数据中断;
#define MAX_TX                  0x10  //达到最大发送次数中断
#define TX_OK                   0x20  //TX发送完成中断
#define RX_OK                   0x40  //接收到数据中断

#define OBSERVE_TX      0x08  //发送检测寄存器,bit7:4,数据包丢失计数器;bit3:0,重发计数器
#define CD              0x09  //载波检测寄存器,bit0,载波检测;
#define RX_ADDR_P0      0x0A  //数据通道0接收地址,最大长度5个字节,低字节在前
#define RX_ADDR_P1      0x0B  //数据通道1接收地址,最大长度5个字节,低字节在前

#define RX_ADDR_P2      0x0C  //数据通道2接收地址,最低字节可设置
                              //高字节,必须同 RX_ADDR_P1[39:8]相等;

#define RX_ADDR_P3      0x0D  //数据通道3接收地址,最低字节可设置
                              //高字节,必须同 RX_ADDR_P1[39:8]相等;

#define RX_ADDR_P4      0x0E  //数据通道4接收地址,最低字节可设置
                              //高字节,必须同RX_ADDR_P1[39:8]相等;

#define RX_ADDR_P5      0x0F  //数据通道5接收地址,最低字节可设置,
                              //高字节,必须同RX_ADDR_P1[39:8]相等;

#define TX_ADDR         0x10  //发送地址(低字节在前),ShockBurstTM模式下,RX_ADDR_P0与此地址
                                相等
#define RX_PW_P0        0x11  //接收数据通道0有效数据宽度(1~32字节),设置为0则非法
#define RX_PW_P1        0x12  //接收数据通道1有效数据宽度(1~32字节),设置为0则非法
#define RX_PW_P2        0x13  //接收数据通道2有效数据宽度(1~32字节),设置为0则非法
#define RX_PW_P3        0x14  //接收数据通道3有效数据宽度(1~32字节),设置为0则非法
#define RX_PW_P4        0x15  //接收数据通道4有效数据宽度(1~32字节),设置为0则非法
#define RX_PW_P5        0x16  //接收数据通道5有效数据宽度(1~32字节),设置为0则非法
#define NRF_FIFO_STATUS 0x17  //FIFO状态寄存器;bit0,RX FIFO寄存器空标志;
                              //bit1,RX FIFO满标志;bit2,3,保留
                              //bit4,TX FIFO空标志;bit5,TX FIFO满标志;bit6,1,循环发送上一
                              //数据包.0,不循环;
/
//24L01操作线
#define NRF24L01_CE   PAout(4) //24L01片选信号
#define NRF24L01_CSN  PCout(4) //SPI片选信号          
#define NRF24L01_IRQ  PAin(1)  //IRQ主机数据输入
//24L01发送接收数据宽度定义
#define TX_ADR_WIDTH    5           //5字节的地址宽度
#define RX_ADR_WIDTH    5           //5字节的地址宽度
#define TX_PLOAD_WIDTH  32          //32字节的用户数据宽度
#define RX_PLOAD_WIDTH  32          //32字节的用户数据宽度

使用特权

评论回复
26
自动化陈稳|  楼主 | 2023-7-8 16:44 | 只看该作者
24l01的函数
void NRF24L01_Init(void)
{  
        GPIO_InitTypeDef GPIO_InitStructure;
        SPI_InitTypeDef  SPI_InitStructure;
       
        RCC_APB2PeriphClockCmd(        RCC_APB2Periph_GPIOA|RCC_APB2Periph_GPIOC, ENABLE );       
       
        GPIO_InitStructure.GPIO_Pin = GPIO_Pin_2|GPIO_Pin_3|GPIO_Pin_4;
        GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP ;   //推挽输出
        GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
        GPIO_Init(GPIOA, &GPIO_InitStructure);
       
        GPIO_InitStructure.GPIO_Pin = GPIO_Pin_4;
        GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP ;   //推挽输出
        GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
        GPIO_Init(GPIOC, &GPIO_InitStructure);
        GPIO_SetBits(GPIOC,GPIO_Pin_4);
       
        GPIO_InitStructure.GPIO_Pin = GPIO_Pin_1;
        GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IPU  ;   //上拉输入
        GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
        GPIO_Init(GPIOA, &GPIO_InitStructure);

        GPIO_SetBits(GPIOA,GPIO_Pin_1|GPIO_Pin_2|GPIO_Pin_3|GPIO_Pin_4);
        SPI1_Init();                    //初始化SPI
               
        SPI_Cmd(SPI1, DISABLE); //
       
        SPI_InitStructure.SPI_Direction = SPI_Direction_2Lines_FullDuplex;  
    //设置SPI单向或者双向的数据模式:SPI设置为双线双向全双工

        SPI_InitStructure.SPI_Mode = SPI_Mode_Master;               
    //设置SPI工作模式:设置为主SPI

        SPI_InitStructure.SPI_DataSize = SPI_DataSize_8b;               
    //设置SPI的数据大小:SPI发送接收8位帧结构

        SPI_InitStructure.SPI_CPOL = SPI_CPOL_Low;                //选择了串行时钟的稳态:时钟悬空低电平
        SPI_InitStructure.SPI_CPHA = SPI_CPHA_1Edge;        //数据捕获于第一个时钟沿
        SPI_InitStructure.SPI_NSS = SPI_NSS_Soft;               
    //NSS信号由硬件(NSS管脚)还是软件(使用SSI位)管理:内部NSS信号有SSI位控制

        SPI_InitStructure.SPI_BaudRatePrescaler = SPI_BaudRatePrescaler_256;               
    //定义波特率预分频的值:波特率预分频值为256

        SPI_InitStructure.SPI_FirstBit = SPI_FirstBit_MSB;       
    //指定数据传输从MSB位还是LSB位开始:数据传输从MSB位开始

        SPI_InitStructure.SPI_CRCPolynomial = 7;        //CRC值计算的多项式
        SPI_Init(SPI1, &SPI_InitStructure);  
    //根据SPI_InitStruct中指定的参数初始化外设SPIx寄存器

        NRF24L01_CE=0;         //使能24L01
        NRF24L01_CSN=1;        //SPI片选取消                
}

使用特权

评论回复
27
自动化陈稳|  楼主 | 2023-7-8 16:44 | 只看该作者
*NRF24L01_Init
spi的初始化,没啥好说的
void NRF24L01_Init(void)
{  
        GPIO_InitTypeDef GPIO_InitStructure;
        SPI_InitTypeDef  SPI_InitStructure;
       
        RCC_APB2PeriphClockCmd(        RCC_APB2Periph_GPIOA|RCC_APB2Periph_GPIOC, ENABLE );       
       
        GPIO_InitStructure.GPIO_Pin = GPIO_Pin_2|GPIO_Pin_3|GPIO_Pin_4;
        GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP ;   //推挽输出
        GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
        GPIO_Init(GPIOA, &GPIO_InitStructure);
       
        GPIO_InitStructure.GPIO_Pin = GPIO_Pin_4;
        GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP ;   //推挽输出
        GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
        GPIO_Init(GPIOC, &GPIO_InitStructure);
        GPIO_SetBits(GPIOC,GPIO_Pin_4);
       
        GPIO_InitStructure.GPIO_Pin = GPIO_Pin_1;
        GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IPU  ;   //上拉输入
        GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
        GPIO_Init(GPIOA, &GPIO_InitStructure);

        GPIO_SetBits(GPIOA,GPIO_Pin_1|GPIO_Pin_2|GPIO_Pin_3|GPIO_Pin_4);
        SPI1_Init();                    //初始化SPI
               
        SPI_Cmd(SPI1, DISABLE); //
       
        SPI_InitStructure.SPI_Direction = SPI_Direction_2Lines_FullDuplex;  
    //设置SPI单向或者双向的数据模式:SPI设置为双线双向全双工

        SPI_InitStructure.SPI_Mode = SPI_Mode_Master;               
    //设置SPI工作模式:设置为主SPI

        SPI_InitStructure.SPI_DataSize = SPI_DataSize_8b;               
    //设置SPI的数据大小:SPI发送接收8位帧结构

        SPI_InitStructure.SPI_CPOL = SPI_CPOL_Low;                //选择了串行时钟的稳态:时钟悬空低电平
        SPI_InitStructure.SPI_CPHA = SPI_CPHA_1Edge;        //数据捕获于第一个时钟沿
        SPI_InitStructure.SPI_NSS = SPI_NSS_Soft;               
    //NSS信号由硬件(NSS管脚)还是软件(使用SSI位)管理:内部NSS信号有SSI位控制

        SPI_InitStructure.SPI_BaudRatePrescaler = SPI_BaudRatePrescaler_256;               
    //定义波特率预分频的值:波特率预分频值为256

        SPI_InitStructure.SPI_FirstBit = SPI_FirstBit_MSB;       
    //指定数据传输从MSB位还是LSB位开始:数据传输从MSB位开始

        SPI_InitStructure.SPI_CRCPolynomial = 7;        //CRC值计算的多项式
        SPI_Init(SPI1, &SPI_InitStructure);  
    //根据SPI_InitStruct中指定的参数初始化外设SPIx寄存器

        NRF24L01_CE=0;         //使能24L01
        NRF24L01_CSN=1;        //SPI片选取消                
}

使用特权

评论回复
28
自动化陈稳|  楼主 | 2023-7-8 16:44 | 只看该作者
*NRF24L01_Check
//检测24L01是否存在
//返回值:0,成功;1,失败       
u8 NRF24L01_Check(void)
{
        u8 buf[5]={0XA5,0XA5,0XA5,0XA5,0XA5};
        u8 i;
        SPI1_SetSpeed(SPI_BaudRatePrescaler_8); //spi速度为9Mhz(24L01的最大SPI时钟为10Mhz)            
        NRF24L01_Write_Buf(NRF_WRITE_REG+TX_ADDR,buf,5);//写入5个字节的地址.       
        NRF24L01_Read_Buf(TX_ADDR,buf,5); //读出写入的地址  
        for(i=0;i<5;i++)if(buf[i]!=0XA5)break;                                                                   
        if(i!=5)return 1;//检测24L01错误       
        return 0;                 //检测到24L01
}                  

使用特权

评论回复
29
自动化陈稳|  楼主 | 2023-7-8 16:44 | 只看该作者
这个应该没啥问题,给发送通道写5个字节的地址,然后再读出来挨个检验,没验完5个就退出来证明检测失败

使用特权

评论回复
30
自动化陈稳|  楼主 | 2023-7-8 16:45 | 只看该作者
*NRF24L01_Write_Reg
//SPI写寄存器
//reg:指定寄存器地址
//value:写入的值
u8 NRF24L01_Write_Reg(u8 reg,u8 value)
{
        u8 status;       
           NRF24L01_CSN=0;                 //使能SPI传输
          status =SPI1_ReadWriteByte(reg);//发送寄存器号
          SPI1_ReadWriteByte(value);      //写入寄存器的值
          NRF24L01_CSN=1;                 //禁止SPI传输          
          return(status);                               //返回状态值
}

使用特权

评论回复
31
自动化陈稳|  楼主 | 2023-7-8 16:45 | 只看该作者
status =SPI1_ReadWriteByte(reg);//发送寄存器号

这句发送的reg包含SPI指令和寄存器位置两部分,指令和位置在.h头文件中都有定义

*NRF24L01_Read_Reg

//读取SPI寄存器值
//reg:要读的寄存器
u8 NRF24L01_Read_Reg(u8 reg)
{
        u8 reg_val;            
        NRF24L01_CSN = 0;          //使能SPI传输               
          SPI1_ReadWriteByte(reg);   //发送寄存器号
          reg_val=SPI1_ReadWriteByte(0XFF);//读取寄存器内容
          NRF24L01_CSN = 1;          //禁止SPI传输                    
          return(reg_val);           //返回状态值
}       

使用特权

评论回复
32
自动化陈稳|  楼主 | 2023-7-8 16:45 | 只看该作者
status =SPI1_ReadWriteByte(reg);//发送寄存器号

这句发送的reg包含SPI指令和寄存器位置两部分,指令和位置在.h头文件中都有定义

*NRF24L01_Read_Reg

//读取SPI寄存器值
//reg:要读的寄存器
u8 NRF24L01_Read_Reg(u8 reg)
{
        u8 reg_val;            
        NRF24L01_CSN = 0;          //使能SPI传输               
          SPI1_ReadWriteByte(reg);   //发送寄存器号
          reg_val=SPI1_ReadWriteByte(0XFF);//读取寄存器内容
          NRF24L01_CSN = 1;          //禁止SPI传输                    
          return(reg_val);           //返回状态值
}       

使用特权

评论回复
33
自动化陈稳|  楼主 | 2023-7-8 16:46 | 只看该作者
*NRF24L01_Read_Buf
//在指定位置读出指定长度的数据
//reg:寄存器(位置)
//*pBuf:数据指针
//len:数据长度
//返回值,此次读到的状态寄存器值
u8 NRF24L01_Read_Buf(u8 reg,u8 *pBuf,u8 len)
{
        u8 status,u8_ctr;               
          NRF24L01_CSN = 0;           //使能SPI传输
          status=SPI1_ReadWriteByte(reg);//发送寄存器值(位置),并读取状态值             
        for(u8_ctr=0;u8_ctr<len;u8_ctr++)pBuf[u8_ctr]=SPI1_ReadWriteByte(0XFF);//读出数据
          NRF24L01_CSN=1;       //关闭SPI传输
          return status;        //返回读到的状态值
}

使用特权

评论回复
34
自动化陈稳|  楼主 | 2023-7-8 16:46 | 只看该作者
这里调用指针实际上缩短了运行时间

使用特权

评论回复
35
自动化陈稳|  楼主 | 2023-7-8 16:50 | 只看该作者
*NRF24L01_Write_Buf
//在指定位置写指定长度的数据
//reg:寄存器(位置)
//*pBuf:数据指针
//len:数据长度
//返回值,此次读到的状态寄存器值
u8 NRF24L01_Write_Buf(u8 reg, u8 *pBuf, u8 len)
{
        u8 status,u8_ctr;            
        NRF24L01_CSN = 0;          //使能SPI传输
          status = SPI1_ReadWriteByte(reg);//发送寄存器值(位置),并读取状态值
          for(u8_ctr=0; u8_ctr<len; u8_ctr++)SPI1_ReadWriteByte(*pBuf++); //写入数据         
          NRF24L01_CSN = 1;       //关闭SPI传输
          return status;          //返回读到的状态值
}                       

使用特权

评论回复
36
自动化陈稳|  楼主 | 2023-7-8 16:50 | 只看该作者
*NRF24L01_TxPacket
//启动NRF24L01发送一次数据
//txbuf:待发送数据首地址
//返回值:发送完成状况
u8 NRF24L01_TxPacket(u8 *txbuf)
{
        u8 sta;
        SPI1_SetSpeed(SPI_BaudRatePrescaler_8);//spi速度为9Mhz(24L01的最大SPI时钟为10Mhz)   
        NRF24L01_CE=0;
          NRF24L01_Write_Buf(WR_TX_PLOAD,txbuf,TX_PLOAD_WIDTH);//写数据到TX BUF  32个字节
        NRF24L01_CE=1;//启动发送          
        while(NRF24L01_IRQ!=0);//等待发送完成
        sta=NRF24L01_Read_Reg(STATUS);  //读取状态寄存器的值          
        NRF24L01_Write_Reg(NRF_WRITE_REG+STATUS,sta); //清除TX_DS或MAX_RT中断标志
        if(sta&MAX_TX)//达到最大重发次数
        {
                NRF24L01_Write_Reg(FLUSH_TX,0xff);//清除TX FIFO寄存器
                return MAX_TX;
        }
        if(sta&TX_OK)//发送完成
        {
                return TX_OK;
        }
        return 0xff;//其他原因发送失败
}

使用特权

评论回复
37
自动化陈稳|  楼主 | 2023-7-8 16:51 | 只看该作者
先把CE置低进入待机模式1,前面说过写寄存器要处于掉电或待机模式

在Enhanced ShockBurstTM发送模式下,置CE为高,至少10us,将使能发送过程。

while(NRF24L01_IRQ!=0);//等待发送完成

前面提过IRQ在三种情况下变为低电平,其中有“Tx FIFO 发完并且收到ACK(使能ACK情况下)”

也就是NRF24L01_IRQ=0时,发送完成。

使用特权

评论回复
38
Stahan| | 2023-7-8 16:51 | 只看该作者
为啥调用指针会缩短运行时间啊?

使用特权

评论回复
39
自动化陈稳|  楼主 | 2023-7-8 16:51 | 只看该作者
sta=NRF24L01_Read_Reg(STATUS);  //读取状态寄存器的值     

发完后读STATUS的值,MAX_TX在.h中定义为0x10,TX_OK是0x20

使用特权

评论回复
40
自动化陈稳|  楼主 | 2023-7-8 16:51 | 只看该作者
照着 STATUS的图看上面的代码再结合宏定义,是不是
其实后面的函数都是一样的,这么看就行

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则