打印

补码转换逻辑

[复制链接]
217|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
34af9uc|  楼主 | 2024-4-2 07:13 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

/******************补码转换逻辑********************************/
/*******************ID:共同学习stm8*******************************/
/****************20240331**********************************/
`timescale 1ns/10ps
module comp_conv(a, a_comp);
//(a)a_comp);
input[7:0]                a;
output[7:0]                a_comp;

wire[6:0]                b;                //按位取反的幅度位
wire[7:0]                y;                //负数的补码

assign                b=~a[6:0];
assign                y[6:0]=b+1;                //取反+1
assign                y[7]=a[7];                //符号位不变


assign                a_comp=a[7]?y:a;                //二选一
endmodule
/**************************************************/
/**************************************************/
/**************************************************/

/**************testbench of comp_conv************************************/
module comp_conv_tb;
reg[7:0]                a_in;
wire[7:0]                y_out;
comp_conv        comp_conv
                                (
                                .a(a_in),
                                .a_comp(y_out)
                                );
initial
begin
a_in                <=0;
#3000                $stop;
end
always                #10 a_in<=a_in+1;

endmodule

使用特权

评论回复

相关帖子

发新帖
您需要登录后才可以回帖 登录 | 注册

本版积分规则

298

主题

455

帖子

59

粉丝