发新帖我要提问
123
返回列表
打印
[技术问答]

通用I/O的默认状态是什么?

[复制链接]
楼主: elsaflower
手机看帖
扫描二维码
随时随地手机跟帖
41
如果引脚被配置为输入模式,它将默认处于高阻抗状态,等待外部信号的到来。如果引脚被配置为输出模式,它将默认输出低电平

使用特权

评论回复
42
gygp| | 2024-6-17 12:53 | 只看该作者
GPIO在使用前处于一个稳定的状态,避免因悬空状态导致的错误和不稳定。

使用特权

评论回复
43
呐咯密密| | 2024-6-17 14:07 | 只看该作者
如果不修改配置,默认应该是高阻抗输入

使用特权

评论回复
44
wilhelmina2| | 2024-6-17 16:00 | 只看该作者
过固件中的初始化代码来完成的,可以设置GPIO为输入、输出或是具有特定属性(如上拉、下拉、开漏等)的模式,并设定初始电平或输入阈值。

使用特权

评论回复
45
1988020566| | 2024-6-19 14:22 | 只看该作者
通用I/O(Input/Output)的默认状态取决于具体的硬件平台或微控制器家族。以NuMicro®家族系列为例,通用I/O的默认状态可以通过设置CIOINI(Config0[10])来选择。

使用特权

评论回复
46
鹿鼎计| | 2024-7-1 20:35 | 只看该作者
这与制造商的设计有关,而不同制造商的设计是不同的

使用特权

评论回复
47
digit0| | 2024-7-3 15:37 | 只看该作者
实际上,默认状态一般是输出、高级

使用特权

评论回复
48
朝生| | 2024-7-4 07:06 | 只看该作者
一般来说,引脚复位后的状态设置为输入上拉,输入下拉,或高阻抗取决于配置选项。

使用特权

评论回复
49
AIsignel| | 2024-7-4 16:13 | 只看该作者
默认情况下,许多 GPIO 被配置为输入。

使用特权

评论回复
50
理想阳| | 2024-7-5 20:22 | 只看该作者
输入输出端口的电位由所连接的外部电路决定。

使用特权

评论回复
51
V853| | 2024-7-8 18:27 | 只看该作者
复位后,gpio引脚决定其初始状态,具体取决于芯片的配置。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则