打印
[应用相关]

STM32上实现FFT算法精准测量正弦波信号的幅值、频率和相位差(标准库)

[复制链接]
51|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
tpgf|  楼主 | 2024-9-20 08:00 | 只看该作者 |只看大图 回帖奖励 |倒序浏览 |阅读模式
在研究声音、电力或任何形式的波形时,我们常常需要穿过表面看本质。FFT(快速傅里叶变换)就是这样一种强大的工具,它能够揭示隐藏在复杂信号背后的频率成分。本文将带你走进FFT的世界,了解它是如何将时域信号转化为频域信号,如何使用STM32F407微控制器和FFT来分析正弦信号的幅值、频率和相位差。

一、FFT介绍
FFT(快速傅里叶变换)是一种将信号从时域(随时间变化的信号)转换为频域(不同频率成分的信号)的算法。做一个比喻:信号=食材,FFT=刀,频域=切好的食材。时域信号:想象你拿到了一块复杂的食材,比如一只未处理的鱼,里面有骨头、肉、皮等。这就是时域信号,它包含所有部分,但你还不能清楚地看到每个成分。FFT:这就像一把精准的刀,它能快速地把鱼切成不同的部分:肉、骨头、皮等。FFT就像这把刀,把复杂的信号拆分为不同的频率成分,让我们能够看到信号中隐藏的细节。频域信号:处理后的结果就像分好类的食材——你清楚地看到了鱼的骨头、肉和皮,知道每个部分的大小(幅值)和具体成分(频率)。这样你就可以根据需要进一步处理这些“分好类的食材”。FFT像一把高效的刀,能快速、精准地将复杂的信号“解剖”成简单、清晰的频率部分。其优势在于:

1.实现频域分析:FFT将时域信号转换为频域,使我们能够识别信号中的频率成分。对于复杂信号,时域分析可能难以识别其频率特征,而频域分析(如滤波、频谱分析、数据压缩)则能直观地展现信号的频率内容。

2.提高效率:直接计算离散傅里叶变换(DFT)运算复杂度较高,而FFT通过优化算法显著提升计算效率,适用于单片机中实时数据处理。

FFT广泛应用于音频处理、通信系统、图像处理、医学成像、地震数据分析等领域。在电力信号处理中,FFT可帮助清晰识别信号中的基波和谐波成分,协助检测电网中的频率偏移和谐波干扰问题。

二、时域分析 vs 频域分析



时域:时域是我们通常看到的信号,比如正弦波,随时间波动。用电压表测量交流电压时,指针上下波动就是时域信号的表现。时域分析只能告诉我们信号的瞬时变化,无法揭示信号的频率组成。

频域:频域是一种数学上的表示方式,用于分析信号的频率成分。在频域中,任何复杂的时域信号都可以分解为正弦波的叠加,因为正弦波是频域中唯一存在的基本波形。



时域分析:这是你在电压表或示波器上看到的信号波形,它显示信号如何随时间变化。时域分析的主要缺点是无法直接告诉你信号中包含哪些频率成分。

频域分析:通过FFT,我们可以将这些时域信号分解为不同的频率成分,就像把一首歌分解成各个音符。可以帮助我们找到信号中的主要频率,如50Hz的基波和其他高频谐波。

三、FFT的实部和虚部



正弦信号可以用以下数学表达式表示: x(t)=A⋅sin(ωt+θ) 其中:

A 是振幅,表示信号的最大值。
ω 是角频率,单位为弧度/秒(ω=2πf,其中 f 为频率)。
θ是相位角,表示信号的初始偏移。
x(t)=A⋅sin(ωt+θ) 本身是一个实数函数,因为它在任何时间点 t 的值都是实数。这个表达式没有直接包含虚数部分,所以可以说它的“虚部”是0。
FFT 变换后,得到的是复数Re+j⋅Im ,包括实部和虚部:

实部 (Re):与余弦分量相关,决定信号的振幅。
虚部 (Im):与正弦分量相关,影响信号的相位。
计算幅值和相位:

幅值:表示信号在某频率下的强度,通过以下公式计算:幅值 = sqrt(Re^2 + Im^2)

相位:表示信号相对于参考信号的偏移,通过以下公式计算: 相位 = atan2(Im, Re)

通过 FFT 的实部和虚部,我们可以准确地获取信号的幅值和相位,从而深入理解信号的频率特性,包括基波和谐波的影响。

基波:信号的主要频率成分。例如,电网的基波通常是50Hz或60Hz,它代表了信号的基本频率。

谐波:基波频率的整数倍,例如基波的2倍、3倍频率等。谐波会影响电力设备的正常运行,可能导致设备过热或损坏。

四、如何用STM32进行FFT计算?
让我们一步步看看整个计算流程。

1. 信号采集
首先,我们需要使用STM32的ADC模块来采集模拟信号,比如三相交流电。ADC将模拟信号(如电压或电流)转换为数字信号,供后续处理。

采样数量:FFT的计算通常需要2的整数次幂的采样点数(如1024、2048)。采样点数越多,频率分辨率越高。

采样频率:采样频率必须至少是信号频率的两倍(奈奎斯特定理)。例如,分析50Hz的信号时,采样频率应至少为100Hz,但通常使用更高的采样频率,比如10kHz,以保证计算精度。下面的代码是1000Hz的信号的,选用的 采样频率是100000Hz。

2. FFT变换
采集到的时域数据通过FFT算法进行处理,转换为频域信息。ARM-DSP库中有现成的FFT函数,可以简化计算过程。

3. 运算结果:幅值、频率和相位差

幅值:信号的振幅大小,表示每个频率成分的强度。基波的幅值代表主要的电压或电流值。

频率:FFT能帮助我们识别信号中的不同频率成分,如电网中的50Hz基波及其他谐波。

相位差:对于三相信号,FFT可以帮助我们分析不同相之间的相位差,揭示它们之间的时间延迟。

4. 处理流程概述

整个处理流程如下:

信号采集:定时器触发ADC采样交流电信号。
采样与ADC转换:STM32的ADC将模拟信号转为数字信号。
DMA传输:使用DMA自动传输采样数据到内存。
FFT计算:利用FFT将时域数据转换为频域数据。
结果提取:从FFT结果中提取幅值、频率和相位差信息。
五、程序实现
硬件:正点原子探索者 V3 STM32F407 开发板,下面是核心代码,代码中使用了ARM提供的数学库(arm_math.h)来实现FFT算法,以及STM32的标准库库来配置定时器、ADC和DMA。通过这些配置,系统能够高效地采集和处理模拟信号,分析其频谱特性。完整代码请在资源下载。

#include "tim_adc_dma_fft.h"
#include "usart.h"
#include "arm_math.h"   
#include "delay.h"

/*通过ADC采集模拟信号,然后使用DMA将采集的数据传输到内存中,接着通过FFT算法分析信号的频谱,最后通过串口输出信号的基波和谐波的频率、幅值和相位差等信息*/
#define sampledot  4096
#define FFT_LENGTH                4096                //4096点FFT
#define fft_arr 10     // 用于计算FFT采样频率的系数            
#define fft_psc 84       // 用于计算FFT采样频率的系数            

const u32  fft_sample_freq=84000000/(fft_arr*fft_psc);  // 计算FFT采样频率

float fft_inputbuf[FFT_LENGTH*2];         // FFT输入数组,用于存放复数
float fft_outputbuf[FFT_LENGTH];         // FFT输出数组,存放幅值
arm_cfft_radix4_instance_f32 scfft;   // FFT实例结构体

u32 sampledata[sampledot]={0};//用于存放ADC采样数据的数组,高16位保存adc2 pa5, 低16位保存adc1 pa6

float phase_difference=0; // 用于存放相位差的变量
float freamp[50];//用于存放各次谐波频率和幅值的数组

// 定时器3初始化函数
void Tim3_Init(u16 arr,u16 psc)
{
          TIM_TimeBaseInitTypeDef   TIM_TimeBaseInitstruct;         
        RCC_APB1PeriphClockCmd(RCC_APB1Periph_TIM3,ENABLE);         

        TIM_TimeBaseInitstruct.TIM_Period=arr;   
    TIM_TimeBaseInitstruct.TIM_Prescaler=psc;
        TIM_TimeBaseInitstruct.TIM_CounterMode=TIM_CounterMode_Up;
        TIM_TimeBaseInitstruct.TIM_ClockDivision=TIM_CKD_DIV1;
        TIM_TimeBaseInit(TIM3,&TIM_TimeBaseInitstruct);
       
        //TIM_ITConfig(TIM3,TIM_IT_Update,ENABLE);     
        TIM_SelectOutputTrigger(TIM3, TIM_TRGOSource_Update);       
        TIM_Cmd(TIM3,DISABLE);
}
// ADC初始化函数
void Adc_Init()
{
        GPIO_InitTypeDef  GPIO_InitStructure;
        ADC_CommonInitTypeDef ADC_CommonInitStructure;
        ADC_InitTypeDef       ADC_InitStructure;
       
       
        RCC_AHB1PeriphClockCmd(RCC_AHB1Periph_GPIOA, ENABLE);
    RCC_APB2PeriphClockCmd(RCC_APB2Periph_ADC1, ENABLE);
          RCC_APB2PeriphClockCmd(RCC_APB2Periph_ADC2, ENABLE);
       
         GPIO_InitStructure.GPIO_Pin = GPIO_Pin_6|GPIO_Pin_5;  //adc 1和2 的通道
         GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AN;
         GPIO_InitStructure.GPIO_PuPd = GPIO_PuPd_NOPULL;
         GPIO_Init(GPIOA, &GPIO_InitStructure);
       
        RCC_APB2PeriphResetCmd(RCC_APB2Periph_ADC1,ENABLE);       
        RCC_APB2PeriphResetCmd(RCC_APB2Periph_ADC1,DISABLE);
        RCC_APB2PeriphResetCmd(RCC_APB2Periph_ADC2,ENABLE);       
        RCC_APB2PeriphResetCmd(RCC_APB2Periph_ADC2,DISABLE); //重置
       
        ADC_CommonInitStructure.ADC_Mode = ADC_DualMode_InjecSimult;
    ADC_CommonInitStructure.ADC_TwoSamplingDelay = ADC_TwoSamplingDelay_5Cycles;
    ADC_CommonInitStructure.ADC_DMAAccessMode = ADC_DMAAccessMode_2;
    ADC_CommonInitStructure.ADC_Prescaler = ADC_Prescaler_Div2;
    ADC_CommonInit(&ADC_CommonInitStructure);
       
        ADC_InitStructure.ADC_Resolution = ADC_Resolution_12b;
    ADC_InitStructure.ADC_ScanConvMode = DISABLE;       
    ADC_InitStructure.ADC_ContinuousConvMode = DISABLE;
    ADC_InitStructure.ADC_ExternalTrigConvEdge = ADC_ExternalTrigConvEdge_Rising;  
    ADC_InitStructure.ADC_DataAlign = ADC_DataAlign_Right;       
    ADC_InitStructure.ADC_NbrOfConversion =1;  //通道数
        ADC_InitStructure.ADC_ExternalTrigConv=ADC_ExternalTrigConv_T3_TRGO;
    ADC_Init(ADC1, &ADC_InitStructure);
    ADC_Init(ADC2, &ADC_InitStructure);
    ADC_RegularChannelConfig(ADC2, ADC_Channel_5, 1, ADC_SampleTime_3Cycles);
        ADC_RegularChannelConfig(ADC1, ADC_Channel_6, 1, ADC_SampleTime_3Cycles);
               
   ADC_MultiModeDMARequestAfterLastTransferCmd(ENABLE); //多路转化完后触发dma

        ADC_DMACmd(ADC1, ENABLE);
       
        ADC_Cmd(ADC1, ENABLE);
    ADC_Cmd(ADC2, ENABLE);
}


// DMA初始化函数,用于ADC数据的采集
void Dma_ADC_Init()
{
       
       
        DMA_InitTypeDef  DMA_InitStructure;
        NVIC_InitTypeDef NVIC_InitStructure;       
        RCC_AHB1PeriphClockCmd(RCC_AHB1Periph_DMA2, ENABLE);
       

        DMA_DeInit(DMA2_Stream0);
       
        DMA_InitStructure.DMA_BufferSize= sampledot;
        DMA_InitStructure.DMA_Channel=DMA_Channel_0;
        DMA_InitStructure.DMA_DIR=DMA_DIR_PeripheralToMemory;       
        DMA_InitStructure.DMA_FIFOMode = DMA_FIFOMode_Enable;         
    DMA_InitStructure.DMA_FIFOThreshold = DMA_FIFOThreshold_HalfFull;       
       
        DMA_InitStructure.DMA_Memory0BaseAddr= (uint32_t)&sampledata ;//要存入的值
       
        DMA_InitStructure.DMA_MemoryBurst=DMA_MemoryBurst_Single;
        DMA_InitStructure.DMA_MemoryDataSize= DMA_MemoryDataSize_Word;
        DMA_InitStructure.DMA_MemoryInc=DMA_MemoryInc_Enable;               
        DMA_InitStructure.DMA_Mode=DMA_Mode_Circular;
       
               
        DMA_InitStructure.DMA_PeripheralBaseAddr=(uint32_t)0x40012308; //adc地址
        DMA_InitStructure.DMA_PeripheralBurst=DMA_PeripheralBurst_Single;
        DMA_InitStructure.DMA_PeripheralDataSize=DMA_PeripheralDataSize_Word;
        DMA_InitStructure.DMA_PeripheralInc=DMA_PeripheralInc_Disable;
        DMA_InitStructure.DMA_Priority=DMA_Priority_High;
       


  DMA_Init(DMA2_Stream0, &DMA_InitStructure);
  DMA_ITConfig(DMA2_Stream0, DMA_IT_TC, ENABLE);
  DMA_Cmd(DMA2_Stream0, ENABLE);
         
  NVIC_InitStructure.NVIC_IRQChannel = DMA2_Stream0_IRQn;  //DMA2_Stream0中断
  NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority=0;  //抢占优先级1
  NVIC_InitStructure.NVIC_IRQChannelSubPriority =0;        //子优先级1
  NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE;            //IRQ通道使能
  NVIC_Init(&NVIC_InitStructure);
}

// 数据初始化函数,用于初始化ADC、DMA、串口和定时器
void Data_Init()
{
        u32 idex;
        float temp;       
        Adc_Init();
        Dma_ADC_Init();
        uart_init(115200);
        arm_cfft_radix4_init_f32(&scfft,FFT_LENGTH,0,1);//初始化scfft结构体,设定FFT相关参数     //FFT_LENGTH 4096
        Tim3_Init(fft_arr-1,fft_psc-1);
}


// DMA中断服务函数,用于处理ADC数据采集完成后的操作
void DMA2_Stream0_IRQHandler(void)  
{
        u32 idex;        //用于将采集到的数据赋值给fft_inputbuf[2*idex]的计数       
  float bias_voltage2,HZ2,amplitude2,phase2,bias_voltage1,HZ1,amplitude1,phase1;


        u8 temp[40];
        int i;
        u16   freamplen; // freamp长度的一半
       
       
        if(DMA_GetITStatus(DMA2_Stream0, DMA_IT_TCIF0))  //判断DMA传输完成中断  
    {
               
                TIM_Cmd(TIM3,DISABLE);//关闭时钟,进行计算                       
                //adc2 pa5
                for(idex=0;idex<sampledot;idex++) //高16位fft,adc2 fft1 //sampledot==4096
                {                       
                        fft_inputbuf[2*idex]=(u16)(sampledata[idex]>>16)*(3.3/4096);    //生成输入信号实部
                        fft_inputbuf[2*idex+1]=0;//虚部全部为0
                }
                arm_cfft_radix4_f32(&scfft,fft_inputbuf);  //fft运算
                arm_cmplx_mag_f32(fft_inputbuf,fft_outputbuf,FFT_LENGTH);        //把运算结果复数求模得幅值       
                freamplen=fft_getpeak(fft_inputbuf,fft_outputbuf+1,freamp,FFT_LENGTH/2,10,5,0.2);//寻找基波和谐波       
               
                bias_voltage2=fft_outputbuf[0]/FFT_LENGTH;//直流
                HZ2=freamp[0];//频率
                amplitude2=freamp[1];//幅度
                phase2=freamp[2];//相位
                freamp[0]=0;freamp[1]=0;freamp[2]=0;

                //adc1 pa6
                for(idex=0;idex<sampledot;idex++) //低16位fft ,adc1 fft2
                {
                         fft_inputbuf[2*idex]=(u16)(sampledata[idex])*(3.3/4096);    //生成输入信号实部
                         fft_inputbuf[2*idex+1]=0;//虚部全部为0        ,
                       
                }       
                arm_cfft_radix4_f32(&scfft,fft_inputbuf);  //fft运算
                arm_cmplx_mag_f32(fft_inputbuf,fft_outputbuf,FFT_LENGTH);        //把运算结果复数求模得幅值
                freamplen=fft_getpeak(fft_inputbuf,fft_outputbuf+1,freamp,FFT_LENGTH/2,10,5,0.2); //寻找基波和谐波       
               
                bias_voltage1=fft_outputbuf[0]/FFT_LENGTH;//偏置电压      
                HZ1=freamp[0];//频率
                amplitude1=freamp[1];//幅度
                phase1=freamp[2];//相位
                freamp[0]=0;freamp[1]=0;freamp[2]=0;
               
                phase_difference=phase2-phase1;
          if(phase_difference>180) phase_difference=phase_difference-180;
          if(phase_difference<-180) phase_difference=phase_difference+180;
               
                printf("\r\n");    //fft采样频率
                printf("fft_sample_freq:%d\r\n",fft_sample_freq);    //fft采样频率                
                printf("bias_voltage1:%.2f\r\n",bias_voltage1); //偏置电压
                printf("bias_voltage2:%.2f\r\n",bias_voltage2); //偏置电压

                printf("HZ1:%.2f\r\n",HZ1);   //频率
                printf("HZ2:%.2f\r\n",HZ2);//频率
               
                printf("amplitude1:%.2f\r\n",amplitude1); //幅值
                printf("amplitude2:%.2f\r\n",amplitude2);//幅值  
               
                printf("phase_difference:%.2f\r\n",phase_difference);//相位差        
                DMA_ClearITPendingBit(DMA2_Stream0, DMA_IT_TCIF0);
               
        }       
}


// 获取FFT峰值
int fft_getpeak(float *inputx,float *input,float *output,u16 inlen,u8 x,u8 N,float y) //  intlen 输入数组长度,x寻找长度
{                                                                           
        int i,i2;
        u32 idex;  //不同于上一个函数中的,因为他们在不同的函数中被定义
        float datas;
        float sum;
        int outlen=0;
        for(i=0;i<inlen-x;i+=x)
        {
                arm_max_f32(input+i,x,&datas,&idex);   
                if( (input[i+idex]>=input[i+idex+1])&&(input[i+idex]>=input[i+idex-1])&&( (2*datas)/FFT_LENGTH )>y)   
                   {
                           sum=0;   
                           for(i2=i+idex-N;i2<i+idex+N;i2++)   
                           {
                                   sum+=input[i2];         
                           }        
                           if(1.5*sum/(2*N)<datas)      
                           {                                                                                             
                                     output[3*outlen+2] = atan2(inputx[2*(i+idex+1)+1],inputx[2*(i+idex+1)])*180/3.1415926f;        //计算相位                  
                                     output[3*outlen+1] = 1.0*(2*datas)/FFT_LENGTH;   //计算幅度
                                           output[3*outlen] = 1.0*fft_sample_freq*(i+idex+1)/FFT_LENGTH;//计算频率
                                           outlen++;                                  
                           }                                                                                               
               else continue;                          
                   }
                       
                else continue;
               
        }
        return outlen;
       
       
}


定时器初始化 (Tim3_Init): 配置定时器3,用于控制ADC的采样频率。

ADC初始化 (Adc_Init): 配置两个ADC(ADC1和ADC2),用于采集模拟信号。ADC1和ADC2分别连接到不同的通道,采集不同的模拟信号。

DMA初始化 (Dma_ADC_Init): 配置DMA,用于将ADC采集的数据直接传输到内存中,减少CPU的负担。

数据初始化 (Data_Init): 调用上述初始化函数,完成系统的基本配置。

FFT峰值获取函数 (fft_getpeak): 该函数用于在FFT结果中寻找峰值,这些峰值代表了信号中的基波(主要频率成分)。下面是核心中的核心。

                arm_cfft_radix4_f32(&scfft,fft_inputbuf);  //fft运算
                arm_cmplx_mag_f32(fft_inputbuf,fft_outputbuf,FFT_LENGTH);        //把运算结果复数求模得幅值
                freamplen=fft_getpeak(fft_inputbuf,fft_outputbuf+1,freamp,FFT_LENGTH/2,10,5,0.2); //寻找基波和谐波
DMA中断服务函数 (DMA2_Stream0_IRQHandler): 当DMA传输完成时,该函数会被调用。它负责执行FFT算法,计算信号的偏置电压、频率、幅度、相位的相关信息并用串口1打印。


六、现象
1.信号发生器输入信号源。
信号1:1000Hz的信号的,幅度1V,偏置电压0.5V,相位0°;

信号2:1000Hz的信号的,幅度1.2V,偏置电压0.6V,相位50°;



2.串口收到测量结果与输入信号源的实际接近。



七、总结
FFT(快速傅里叶变换)是一种强大的技术,它允许我们将信号从时域转换到频域,从而深入分析其频率成分。这种转换揭示了信号隐藏的频率特性,为我们提供了一个全新的视角来观察和理解信号的行为。

通过上述介绍,我们探讨了如何使用STM32微控制器执行FFT计算,以提取信号的幅值、频率和相位。
希望这些内容能够为大家提供有价值的参考和指导。在实际应用中,理解和运用FFT的原理和技巧,将有助于我们更有效地处理和分析各种复杂的信号。
————————————————

                            版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。

原文链接:https://blog.csdn.net/m0_37371085/article/details/142300496

使用特权

评论回复
沙发
sfd123| | 2024-9-20 08:15 | 只看该作者
这个我不会,学习一下!要是提供整个工程就好了

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

1754

主题

15166

帖子

10

粉丝