打印

跪求大神帮助用VHDL做7分频时钟电路产生

[复制链接]
1568|6
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
a322520|  楼主 | 2013-1-2 17:31 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
qin552011373| | 2013-1-2 19:14 | 只看该作者
伸手党  你不会自己写点代码  有错误再来问么  这样估计没人直接告诉你

使用特权

评论回复
板凳
黄金明月| | 2013-1-5 14:02 | 只看该作者
LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY SEVEN IS PORT (clk     : IN STD_LOGIC;      rst     : IN STD_LOGIC;      clk_out : OUT STD_LOGIC);END ENTITY;ARCHITECTURE behav OF SEVEN IS SIGNAL temp : STD_LOGIC;SIGNAL temp1,temp2 : STD_LOGIC_VECTOR(2 DOWNTO 0); BEGIN  p1:PROCESS(clk,rst)   BEGIN     IF(clk'event AND clk='1')THEN         IF(rst='1')THEN            clk_out<='0';        ELSE          CASE temp1 IS           WHEN "000" => temp1<="001";           WHEN "001" => temp1<="010";           WHEN "010" => temp1<="011";           WHEN "011" => temp1<="100";           WHEN "100" => temp1<="101";           WHEN "101" => temp1<="110";           WHEN "110" => temp1<="000";           WHEN OTHERS => temp1<="000";         END CASE;        END IF;     END IF;    IF(clk'event AND clk='0')THEN         IF(rst='1')THEN            clk_out<='0';         ELSE           CASE temp2 IS            WHEN "000" => temp2<="001";           WHEN "001" => temp2<="010";           WHEN "010" => temp2<="011";           WHEN "011" => temp2<="100";           WHEN "100" => temp2<="101";           WHEN "101" => temp2<="110";           WHEN "110" => temp2<="000";           WHEN OTHERS => temp2<="000";          END CASE;         END IF;     END IF;     clk_out <= NOT (temp1(1) OR temp2(1));END PROCESS;END ARCHITECTURE; 产生1HZlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity ffpin is  port (clk1k:in std_logic;            ft:out std_logic);end ffpin;architecture a of ffpin issignal fm:std_logic;beginprocess(clk1k)variable num:integer range 0 to 1000;beginif clk1k'event and clk1k='1' then   if num<500 then   num:=num+1;   else   num:=1;   fm<=not fm;   end if ;end if;ft<=fm;end process;能实现吗?  第二段程序还有点错误

使用特权

评论回复
地板
ococ| | 2013-1-5 15:28 | 只看该作者
你发的代码太乱了。
使用插入代码来发表吧

使用特权

评论回复
5
GoldSunMonkey| | 2013-1-5 23:14 | 只看该作者
qin552011373 发表于 2013-1-2 19:14
伸手党  你不会自己写点代码  有错误再来问么  这样估计没人直接告诉你

是呀是呀

使用特权

评论回复
6
GoldSunMonkey| | 2013-1-5 23:14 | 只看该作者
ococ 发表于 2013-1-5 15:28
你发的代码太乱了。
使用插入代码来发表吧

是太乱了

使用特权

评论回复
7
LongChip| | 2013-1-12 22:50 | 只看该作者
代码太乱了

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

0

主题

0

帖子

0

粉丝