发新帖我要提问
123
返回列表
打印

GoldSunMonkey—硬件描述语言VHDL课程在线研讨会

[复制链接]
楼主: 21小跑堂
手机看帖
扫描二维码
随时随地手机跟帖
41
必须支持啊

使用特权

评论回复
42
XiaoWei369| | 2013-4-10 17:36 | 只看该作者
必须支持啊

使用特权

评论回复
43
必捷_2012| | 2013-4-10 17:41 | 只看该作者
顶猴哥

使用特权

评论回复
44
jlgcumt| | 2013-4-10 20:34 | 只看该作者
GoldSunMonkey 发表于 2013-4-10 15:10
一次次来嘛

猴哥,你跟我想象中的长相差距有点大!

使用特权

评论回复
45
GoldSunMonkey| | 2013-4-10 21:49 | 只看该作者
jlgcumt 发表于 2013-4-10 20:34
猴哥,你跟我想象中的长相差距有点大!

怎么了?你觉得我应该长什么样子呢?

使用特权

评论回复
46
啸风6636| | 2013-4-10 21:49 | 只看该作者
报名  猴哥的一定来

使用特权

评论回复
47
elec921| | 2013-4-10 22:25 | 只看该作者
光讲语言无用啊 关键还得理解原理

使用特权

评论回复
48
GoldSunMonkey| | 2013-4-10 22:27 | 只看该作者
elec921 发表于 2013-4-10 22:25
光讲语言无用啊 关键还得理解原理

慢慢来嘛

使用特权

评论回复
49
elec921| | 2013-4-10 22:27 | 只看该作者
呦,猴哥这么晚,辛苦啦

使用特权

评论回复
50
bugua| | 2013-4-11 11:52 | 只看该作者
学习学习

使用特权

评论回复
51
HORSE7812| | 2013-4-11 16:45 | 只看该作者
:)

使用特权

评论回复
52
RaiseCom| | 2013-4-11 17:51 | 只看该作者
支持啊

使用特权

评论回复
53
yhhsky| | 2013-4-11 18:11 | 只看该作者
ding顶一个

使用特权

评论回复
54
chentongxiong| | 2013-4-11 18:40 | 只看该作者
支持一下

使用特权

评论回复
55
liang-1011| | 2013-4-12 09:55 | 只看该作者
时间怎么改9:00了,而且用户的参会记录被清零了,还有几场参会还没有兑换,悲催。

使用特权

评论回复
56
1003704680| | 2013-4-12 11:01 | 只看该作者
谢谢猴哥, 主要收获了关于总线和敏感信号列表的部分,也顺便复习了其他一些基础的知识,期待下一个讲座。

使用特权

评论回复
57
jakfens| | 2013-4-12 11:11 | 只看该作者
cgd 发表于 2013-4-9 16:46
上面叫猴哥的是二师兄吗?

:lol

使用特权

评论回复
58
GoldSunMonkey| | 2013-4-12 11:11 | 只看该作者
1003704680 发表于 2013-4-12 11:01
谢谢猴哥, 主要收获了关于总线和敏感信号列表的部分,也顺便复习了其他一些基础的知识,期待下一个讲座。 ...

不客气

使用特权

评论回复
59
jlgcumt| | 2013-4-12 16:35 | 只看该作者
GoldSunMonkey 发表于 2013-4-10 21:49
怎么了?你觉得我应该长什么样子呢?

起码是那种胡子八叉,不修边幅那种的!

使用特权

评论回复
60
GoldSunMonkey| | 2013-4-12 17:54 | 只看该作者
jlgcumt 发表于 2013-4-12 16:35
起码是那种胡子八叉,不修边幅那种的!

:(我有那么寒碜么?

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则