打印

用于验证最大Xilinx 器件的最新VHDL 验证方法

[复制链接]
1783|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
lxAPP|  楼主 | 2013-5-2 21:39 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
使用大量 Xilinx FPGA 的复杂设计经常通过 VHDL 来创建,但随机化和功能覆盖率验证则需要在 SystemVerilog 中进行。 最新的开源 VHDL 验证方法可以为任何标准 VHDL 仿真器的用户提供广泛的功能覆盖率测试和约束或覆盖驱动的随机化测试。
OS-VVM™
The well-recognized languages of VHDL and Verilog provide the ability to create complex FPGA and ASIC designs, however designers must then turn to hardware verification languages such as SystemVerilog or SystemC to bring random values generation to the tests or to collect functional coverage automatically.

Open Source VHDL Verification Methodology (OS-VVM) includes VHDL based verification packages that enable:
1. Generation of random values for signals and variables of various types. The generation can be constrained with the range and weight for random numbers.
2. Definition of the functional coverage model with the simple cover points, cross points, and intelligent coverage to achieve functional coverage faster. The coverage goal can be specified for each point, i.e. the number of times a point must be hit to be considered as covered.
OS-VVM is the most efficient when used with Transaction Level Modeling (TLM) which is demonstrated in the included FIFO example. The usage model in the examples has been specifically kept light and simple with an easy to follow test structure to minimize the learning curve and facilitate methodology adoption.
The OS-VVM has been produced as a joint effort between Aldec and SynthWorks, both companies committed to provide continued support to VHDL design community. The source code of the library has been developed using IEEE 1076-2008 VHDL enabling it to run on a single language VHDL license.

Usage & Benefits:
  • Based exclusively on VHDL 2008
  • Provides advanced capabilities for random values generation and functional coverage
  • Randomize values with uniform or weighted distributions
  • Provides distribution for favor_small, favor_big, Gaussian distribution and Poisson distribution
  • Supports Transaction Level Modeling
  • Intelligent randomization based on the functional coverage holes
  • Cross coverage, illegal and ignore bins
  • Flexible coverage reporting procedures
Download
Package Includes:
  • User Guide
  • Sample Designs
  • VHDL Package Source Files

Additional Resources:

相关帖子

沙发
GoldSunMonkey| | 2013-5-3 22:41 | 只看该作者
不错不错啊

使用特权

评论回复
板凳
lxAPP|  楼主 | 2013-5-4 23:15 | 只看该作者
不错的**啊

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

58

主题

483

帖子

2

粉丝