打印

大师们请指点:怎么没有仿真输出波形?

[复制链接]
1171|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
yadongxi|  楼主 | 2008-5-20 21:20 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity haohao is
 port(clkk:in std_logic;
       ret:in std_logic;
      clk1hz:out std_logic);
end haohao;
architecture behav of haohao is
signal  div2clk : integer range 7 downto 0;
 signal   kk1:     std_logic;
begin
process(clkk,ret)
begin
  if ret='1'  then  kk1<='0';
elsif  div2clk=5 then kk1<=not kk1; div2clk<=0;
elsif clkk'event and clkk='1' then div2clk<=div2clk+1;
end if;
end process;
clk1hz<=kk1;
end behav;

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

2

主题

3

帖子

0

粉丝