打印

产生smybol时有如下错误,请问是什么原因?

[复制链接]
1387|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
duhuaishui|  楼主 | 2009-4-18 00:53 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
产生shift 的 smybol时有如下错误,请问是什么原因? 

Error (10017): Can't create symbol for entity "shift" -- declaration for port "sel" cannot be of complex type 

--cup_lib.vhd 

LIBRARY IEEE; 
USE IEEE.STD_LOGIC_1164.ALL; 
USE IEEE.STD_LOGIC_ARITH.ALL; 
PACKAGE cpu_lib IS 
type t_shift is (shftpass,shtl,shtr,rotl,rotr); 
subtype bit16 is std_logic_vector (15 downto 0); 
end cpu_lib; 

--shift.vhd 

.... 

entity shift is 
  port ( a : in bit16; 
      sel: in t_shift; 
      y : out bit16 
      ); 
end shift; 

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

3

主题

3

帖子

0

粉丝