打印

vhdl报错,flip-flop

[复制链接]
1347|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
WinnieSeven|  楼主 | 2016-5-22 18:07 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

entity check is
port(
clk_8k: IN  std_logic;
state_t:OUT std_logic
);
end;

architecture a of check is
begin
process(clk_8k)
begin
if falling_edge(clk_8k) then
state_t <= '1';
else
state_t <= '0';
end if;
end process;
end a;
出现了报错:@E: CL123 :"E:\test2\check.vhd":17:1:17:2|Logic for state_t_3 does not match a standard flip-flop
这个是什么原因啊?如何修改呢?

相关帖子

沙发
WinnieSeven|  楼主 | 2016-5-22 19:18 | 只看该作者
感觉没有错误,有人帮忙解答下吗?

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

3

主题

15

帖子

0

粉丝