打印
[modelsim]

modlesim添加altera库文件

[复制链接]
748|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
micro5|  楼主 | 2017-5-2 12:01 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
各位大神好,
     本人现在使用modelsim-se 10.c, Quartus使用15.0版本,添加altera仿真库文件调用pll IP总是报错无法找到。
     之前使用 modelsim-se 6.2, Quartus10没有问题,难道新版本库文件添加流程有变化?
以下是添加流程,请各位指教!
1.设置仿真库路径
    打开ModelSim安装目录(我用的是ModelSim SE 6.2版本,安装在D:\ModelSim\Installfiles目录下),新建文件夹altera,我们就在该目录下存放预编译的各种Altera库。
    启动ModelSim SE 6.2,在主窗口执行【File】/【Change Directory】命令将路径转到altera文件夹。或在命令行中执行cd D:/ModelSim/Installfiles/altera。            
2.新建库
    Quartus II中提供的仿真库文件存放的路径是...\altera\80\quartus\eda\sim_lib,每个库文件提供了两种形式:.v(Verilog)
格式和.vhd(VHDL)格式两种,根据你所用的语言选择使用。用于编译资源库的文件有220model.v,220model.vhd,220pack.vhd,altera_mf.v,altera_mf.vhd,altera_mf_components.vhd,altera_primitives.v,altera_primitives.vhd,altera_primitives_components.vhd文件。网上的很多教程都是把这些文件一起编译,这样适用于Verilog和VHDL混合仿真,但如果只用一种语言,如Verilog则完全没必要全部编译。下面以该目录下的altera_mf.v为例介绍建立预编译库的方法。
    注:ModelSim中仿真库可以分为两大类:第一类是工作库(working),默认值为“work”目录,work目录中包含当前工程下所有被编译的设计单元,编译前必须建立一个work库,并且每个编译有且仅有一个work库;第二类是资源库(resource),存储能被当前编译引用的设计单元,在编译期间允许有多个resource库。
    在主窗口中选择【File】/【New】/【Library】命令,新建一个名为altera_mf的库。                        
3.编译库
    方便起见在altera文件夹下新建目录src,把用于编译资源库的文件复制到src文件夹中。
    在主菜单中选【Compile】/【Compile】命令,弹出Compile Source Files对话框,library中选择你刚才建立的库名

altera_mf,查找范围你选择altera_mf.v文件,刚才你已经把它复制到了...\altera\src目录下。执行编译命令。
            
    继续按照步骤2和3中介绍的方法添加剩下的几个库。添加完成后如下图所示。     
4.配置modelsim.ini文件
    这一步是为了将前面建立的库添加进系统库,以后就不用再重复添加了。
    ModelSim安装根目录下的配置文件modelsim.ini的只读属性去掉,用记事本或其他文本编辑程序打开。在[Library]下修改前面

添加的库的路径。注意修改后关闭并改回只读属性。
    注:第1步设置的仿真库路径必须在ModelSim的安装目录下才能事业能够此相对路径。
altera_primitives = $MODEL_TECH/../altera/altera_primitives
220model = $MODEL_TECH/../altera/220model
altera_mf = $MODEL_TECH/../altera/altera_mf

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

1

主题

1

帖子

0

粉丝