打印

FPGA乘法器

[复制链接]
1455|5
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
517650971|  楼主 | 2011-8-17 17:25 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
else if(i<5'd16&&i>5'd0) begin

if(breg[i-1]) yout_r<=areg+yout_r;

areg<=areg<<1;




else if(i > 5'd0 && i < 5'd16) begin

if(areg[i-1]) yout_r = {1'b0,yout[30:15]+breg,yout_r[14:1]};
//累加并移位

else yout_r <= yout_r>>1;
//移位不累加

end

else if(i == 5'd16 && areg[15]) yout_r[31:16] <= yout_r[31:16]+breg;
//累加不移位

end

这两种乘法器的计算方法哪种好啊?

相关帖子

沙发
XLDZZ| | 2011-8-17 20:22 | 只看该作者
不是有现成的乘法器吗???
干嘛自己写 难道你用的是cpld???

使用特权

评论回复
板凳
chwb| | 2011-8-18 11:26 | 只看该作者
可以用现成的乘法器!

使用特权

评论回复
地板
517650971|  楼主 | 2011-8-20 18:16 | 只看该作者
2# XLDZZ 现有乘法器是不是硬件自带的?

使用特权

评论回复
5
517650971|  楼主 | 2011-8-20 18:16 | 只看该作者
3# chwb 现有乘法器是不是硬件自带的?

使用特权

评论回复
6
XLDZZ| | 2011-8-21 21:51 | 只看该作者
fpga
内部自带硬件乘法器

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

2

主题

40

帖子

1

粉丝