打印
[matlab]

ISE .syr 报告分析求助

[复制链接]
1617|17
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
AutoESL|  楼主 | 2011-11-8 10:24 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
先看看下面的报告:
=================================================
Advanced HDL Synthesis Report

Macro Statistics
# RAMs                                                 : 4
1527x16-bit dual-port block RAM                       : 4
# MACs                                                 : 16
17x16-to-33-bit MAC                                   : 9
17x16-to-34-bit MAC                                   : 7
# Multipliers                                          : 11
14x14-bit registered multiplier                       : 1
17x16-bit registered multiplier                       : 9
37x22-bit registered multiplier                       : 1
# Adders/Subtractors                                   : 13
12-bit adder                                          : 2
13-bit adder                                          : 2
20-bit subtractor                                     : 1
34-bit adder                                          : 1
35-bit adder                                          : 4
36-bit adder                                          : 2
37-bit adder                                          : 1
# Counters                                             : 1
25-bit up counter                                     : 1
# Registers                                            : 712
Flip-Flops                                            : 712
# Comparators                                          : 8
12-bit comparator less                                : 4
13-bit comparator less                                : 1
22-bit comparator greater                             : 1
26-bit comparator equal                               : 1
49-bit comparator greater                             : 1

=================================================

相关帖子

沙发
AutoESL|  楼主 | 2011-11-8 10:25 | 只看该作者
问题1: 17x16-bit registered multiplier
这个是指一些寄存器被吸收进DSP48了对吗?这样的话,这些寄存器就不会占用FF资源了.
我的理解对吗?

使用特权

评论回复
板凳
AutoESL|  楼主 | 2011-11-8 10:26 | 只看该作者
MAC我知道,嘿嘿,就是一些加法器被吸收到DSP里面了,不占用加法器用的资源了

使用特权

评论回复
地板
AutoESL|  楼主 | 2011-11-8 10:27 | 只看该作者
问题2: Counter  和 adder  实现的时候有什么区别呢? 怎么还分开报告

使用特权

评论回复
5
AutoESL|  楼主 | 2011-11-8 10:28 | 只看该作者
问题3:其实以前问过, 大于,小于,等于,哪个更快,更节省资源?

使用特权

评论回复
6
GoldSunMonkey| | 2011-11-8 10:30 | 只看该作者
问题1: 17x16-bit registered multiplier
这个是指一些寄存器被吸收进DSP48了对吗?这样的话,这些寄存器就不会占用FF资源了.
我的理解对吗?
AutoESL 发表于 2011-11-8 10:25

我觉得是被吸收了~~

使用特权

评论回复
7
GoldSunMonkey| | 2011-11-8 10:31 | 只看该作者
问题2: Counter  和 adder  实现的时候有什么区别呢? 怎么还分开报告
AutoESL 发表于 2011-11-8 10:27

我觉得的ADDER应该是那个的DSP里面的Adder

使用特权

评论回复
8
GoldSunMonkey| | 2011-11-8 10:36 | 只看该作者
问题3:其实以前问过, 大于,小于,等于,哪个更快,更节省资源?
AutoESL 发表于 2011-11-8 10:28

我觉得大于和小于一样的资源,等于资源最小因为异或门就能搞定。
但是大于小于需要异或后一个三态判断

使用特权

评论回复
9
AutoESL|  楼主 | 2011-11-8 11:17 | 只看该作者
我觉得的ADDER应该是那个的DSP里面的Adder
GoldSunMonkey 发表于 2011-11-8 10:31

没有被吸收到DSP里面的adder

使用特权

评论回复
10
GoldSunMonkey| | 2011-11-8 11:24 | 只看该作者
我脚底你理解的不对,我脚底这个应该都是说DSP里面的东东~

使用特权

评论回复
11
AutoESL|  楼主 | 2011-11-8 12:01 | 只看该作者
本帖最后由 GoldSunMonkey 于 2011-11-8 12:11 编辑

# Adders/Subtractors                                   : 13
12-bit adder                                          : 2
13-bit adder                                          : 2
20-bit subtractor                                     : 1
34-bit adder                                          : 1
35-bit adder                                          : 4
36-bit adder                                          : 2
37-bit adder                                          : 1
你是说这些加法器都是说DSP48E里面的东东?
我脚底是~

使用特权

评论回复
12
AutoESL|  楼主 | 2011-11-8 12:02 | 只看该作者
DSP前面已经说过了啊

使用特权

评论回复
13
GoldSunMonkey| | 2011-11-8 12:11 | 只看该作者
DSP前面已经说过了啊
AutoESL 发表于 2011-11-8 12:02

哪里?没看见

使用特权

评论回复
14
AutoESL|  楼主 | 2011-11-8 12:36 | 只看该作者
Macro Statistics
# RAMs                                                 : 4
# MACs                                                 : 16
# Multipliers                                          : 11
# Adders/Subtractors                                   : 13
# Counters                                             : 1
# Registers                                            : 712
# Comparators                                          : 8
第一项是RAM,2, 3项就是讲DSP啊

我估计咱俩不是说得同一个东西?

使用特权

评论回复
15
GoldSunMonkey| | 2011-11-8 12:39 | 只看该作者
那第四项不是说DSP里面的加减么??我绝地是~

使用特权

评论回复
16
AutoESL|  楼主 | 2011-11-8 12:55 | 只看该作者
不是的

使用特权

评论回复
17
AutoESL|  楼主 | 2011-11-8 12:55 | 只看该作者
他就是说通常的加减,而不是DSP里面的加减

使用特权

评论回复
18
GoldSunMonkey| | 2011-11-8 13:07 | 只看该作者
:)那我就解释不清楚了。我觉得如果你算法里面没有特别大的东西,可以不让综合成DSP,比较一下结果。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:天使宝贝 博客IT人生 From C/C++/SystemC to Xilinx FPGA

0

主题

2517

帖子

3

粉丝