问答

汇集网友智慧,解决技术难题

21ic问答首页 - TAG - 输出
  • IV转化求助

    [i=s] 本帖最后由 降低期待 于 2024-4-6 11:31 编辑 [/i] 不接电流源时,输出为电阻分压,在2.38v左右。接上没有供电的电流源,输出电压就在4.6伏。新手小白,困扰很久了T-T

    电流 输出 光电 电路 电源 运放

    872浏览量 5回复量 关注量
  • 请问哪里可以找到N32WB03x输出音频的电路设计和软件例程?

    如题。这MCU看起来支持音频输出。哪里可以找到示例学习一下。谢谢!

    MCU N32WB03X 输出 音频 软件 电路设计

    823浏览量 1回复量 关注量
  • HC32F072将数据直接输出到DAC的问题

    各位大侠有没有HC32F072直接将数据送到DAC输出口的例程?Example里有正弦波及三角波的输出,但没有直接输出DAC的例程。我简单改了下程序想直接输出,结果发现输出幅度混乱,且不能关闭DMA,否则输出不变。恳请大侠们指点。谢谢!

    HC32F072 DAC 直接 输出

    1160浏览量 1回复量 关注量
  • 关于LTM4609芯片反复损坏的问题

    [align=left]最近做一个项目,需要用到宽电压输入(18V-30V),28V输出升降压功能,负载电流不超过2A。于是选用公司比较成熟的关于LTM4609的电源方案,该电源方案经过多个项目验证使用,均未出现问题,且工作状态良好。公司使用的原理图以datasheet上的图19作为参考,只是把输入的电容改为9个50V的1206封装的10uF陶瓷电容。输出电容未使用220uF的电解电容,而是选用的和输入电容同规格的6个电容。R2使用10mΩ,使启动时间更快,将SS管脚的电容更改为0.01uF,为使输出电压达到28V,将RFB改为推荐的2.94K,其余部分与下图保持一致。[/align][align=left] 第一版本是焊接在0.6mm的两层印制板上,器件均焊接在表层。结果共4张印制板做出来所有的LTM4609片子仅正常工作不到2分钟就变得无输出,输入电压从18V加到30V,再从30V下降到18V,之后就再也没有输出;经过更换新的LTM4609芯片,又是仅正常工作1分钟左右就表现为损坏状态,部分片子输出电压为0.5V左右;另一些印制板的输入端直接表现为短路,电压源直接限流,但是使用万用表测量输入端,未检测到短路,疑似LTM4609损坏。 后来又更换过两次芯片,均工作不到2分钟就会损坏。损坏后的LTM4609,使用示波器测量其SS管脚发现无输出(正产情况下是能检测到5.2V左右的电压),INTVCC是正常的,并且功率电感的两端未检测到开关信号,是一种杂乱无章的波形(正常情况下是方波信号,且随着输入电压的改变,其占空比和开关频率也一致在改变)。 第二版本是焊接在1.6mm的4层印制板,共4张印制板,器件均焊接在表层。排除BGA焊接在较薄的印制板上可能会存在的翘曲而焊接不牢靠。但经过测试发现,第一版本的现象依然存在,只是唯一有一张板子将C3改成0.1uF后就未损坏过,R2使用20mΩ,且经过带负载测试和多次改变输入电压,均未损坏,可以保证焊接牢靠。其余3张板子使用相同的更改方法,均工作不到一分钟就损坏。[/align][align=left]在这种集成度较高的器件发生损坏,一是更换比较费时,而是损坏原因无法查到,因为正常工作时间太短就损坏。目前可以保证物料的正确性,焊接的可靠性,和之前成功设计的印制板原理图相同,印制板基本一样。但就是这样一个看似成功率百分百的设计居然到目前为止还未找到损坏原因,请遇到过这个问题的同仁们看可能从哪些方面入手分析不断损坏的原因?小弟感激不尽。[/align][align=left]试了一下,上传不了图片,该图是LTM4609的芯片手册的图19。[/align]

    芯片 电压 印制板 输出 电容 30

    5268浏览量 10回复量 关注量
  • NUC972配置管脚输出高频时钟CLK_OUT异常

    最近学着使用NUC972,使用GPIO可以点亮LED,但是无法输出CLK_OUT,这个是怎么回事?求助void clk_out_config(clk_freq FREQ) { setReg32(REG_CLK_PCLKEN0,getReg32(REG_CLK_PCLKEN0) | (1<<3)); //Enable GPIO engin clock. setReg32(REG_CLK_HCLKEN,getReg32(REG_CLK_HCLKEN) | (1<<5)); //使能PCLK AHB时钟,默认PCLK为75Mhz setReg32(REG_SYS_GPG_MFPH,getReg32(REG_SYS_GPG_MFPH) | (15<<24));//配置为CLK_OUT setReg32(REG_CLK_DIVCTL7,getReg32(REG_CLK_DIVCTL7) | (1<<4));//配置分频因子 GPIO_OpenBit(GPIOG,BIT14, DIR_OUTPUT, NO_PULL_UP);//配置PG4输出 }

    新唐 972 单片机 时钟 输出

    3251浏览量 11回复量 关注量