打印
[Actel FPGA]

请教!!

[复制链接]
889|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
snowtx|  楼主 | 2008-5-26 15:59 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
本人现在需要做一个用FPGA接收摄像头图像信号的项目,有点迷茫。我用的是UP-680摄像头,有并口,串口和视频输出接口。本项目主要是用并口传输。我看了摄像头的datasheet,它的并口主要输出的是一些数据差分信号,其中有一些我不敢确定是什么,请教大家一下


1 +12VIN/OUT I/O                               21 RESERVED
2 GND                                          22 RESERVED
3 CLK+ O RS644/LVDS                            23 HD I TTL
4 CLK- O RS644/LVDS                            24 GND
5 FEN+ O RS644/LVDS                            25 VD / VINT I TTL
6 FEN- O RS644/LVDS                            26 RESERVED
7 LEN+ O RS644/LVDS                            27 INT I TTL
8 LEN- O RS644/LVDS                            28 RESERVED
9 DATA0+ O RS644/LVDS                          29 DATA1+ O RS644/LVDS
10 DATA0- O RS644/LVDS                         30 DATA1- O RS644/LVDS
11 DATA2+ O RS644/LVDS                         31 DATA3+ O RS644/LVDS
12 DATA2- O RS644/LVDS                         32 DATA3- O RS644/LVDS
13 DATA4+ O RS644/LVDS                         33 DATA5+ O RS644/LVDS
14 DATA4- O RS644/LVDS                         34 DATA5- O RS644/LVDS
15 DATA6+ O RS644/LVDS                         35 DATA7+ O RS644/LVDS
16 DATA6- O RS644/LVDS                         36 DATA7- O RS644/LVDS
17 DATA8+ O RS644/LVDS                         37 DATA9+ O RS644/LVDS
18 DATA8- O RS644/LVDS                         38 DATA9- O RS644/LVDS
19 RESERVED                                    39 RESERVED
20 RESERVED                                    40 RESERVED

其中,FEN,LEN是输出,它们代表什么意思?
      VD,HD是输入,它们代表什么意思,做什么用?
      INT是输入,是不是加电使能?
我刚接触图像处理,请教各位啦,先谢谢大家!!!

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

20

主题

66

帖子

0

粉丝