打印
[Actel FPGA]

请懂CPLD的出手

[复制链接]
1385|8
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
ayb_ice|  楼主 | 2008-12-9 21:18 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
zhaoshifen| | 2008-12-19 15:40 | 只看该作者

代码

多看代码吧。

    always @(posedge clk or posedge reset)
    begin
        if(reset==0)
        begin    
            n1 <= 1;
            n2 <= 1;
        end
        
        else        
        begin
               n1 <= 被检测信号;
               n2 <= n1;
        end
    end

在检测下降沿模块中
if(!n1 && n2)

使用特权

评论回复
板凳
yzl624358| | 2008-12-31 10:23 | 只看该作者

支持

2楼的回答正确!

使用特权

评论回复
地板
linux123| | 2009-2-25 13:32 | 只看该作者

这个应该不是什么难题。对于比较熟悉的人来说。

使用特权

评论回复
5
arm-123| | 2009-2-25 13:57 | 只看该作者

也是初学者,请求指点!

使用特权

评论回复
6
小cat| | 2009-2-26 16:10 | 只看该作者

2楼的朋友还挺认真的,想那位大哥学习!

使用特权

评论回复
7
徐若瑄| | 2009-2-27 17:27 | 只看该作者

学习学习!感谢!

使用特权

评论回复
8
蹦蹦车| | 2009-2-28 10:05 | 只看该作者

凑个热闹

使用特权

评论回复
9
小cat| | 2009-3-2 10:27 | 只看该作者

不懂的也来看看了

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

222

主题

15435

帖子

34

粉丝