打印

求助:ISE中仿真的问题

[复制链接]
1422|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
bronze1999|  楼主 | 2007-11-6 10:28 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
下面是D触发器程序,不能再简单了,奇怪的是在ISE中不能进行“Generate Expected Simulation Results”和“Simulate Behavioral Model”仿真,输出q始终没变化。而对其他程序,比如FIFO,都可以正常进行仿真,所以ISE和Modelsim看来是没问题的。那是为什么呢?请求帮助!

entity dff1 is
    Port ( d : in  STD_LOGIC;
           clk : in  STD_LOGIC;
           q : out  STD_LOGIC);
end dff1;

architecture Behavioral of dff1 is
  signal q_temp : std_logic := '0';
begin
  p1:process(clk,d,q_temp)
  begin
    if clk'event and clk='1' then
       q_temp<=d;
    end if;
  end process;
  
  q<=q_temp;
end Behavioral;

相关帖子

沙发
11月的雨| | 2007-12-8 00:09 | 只看该作者

回复

试下这样 p1:process(clk)

使用特权

评论回复
板凳
lj1978| | 2007-12-11 19:09 | 只看该作者

请把q_temp从敏感表去掉

请把q_temp从敏感表去掉

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

5

主题

3

帖子

0

粉丝