library ieee; use ieee.std_logic_1164.all; entity fana is port(a:in integer range 0 to 10204; clk:in std_logic; q:out std_logic); end fana; archirecture fan_arc of fana is begin process(clk) variable b,d:std_logic; variable c:integer range 0 to 10204; begin if clk'event and clk='1' then if b='0' then c:=a; b:='1'; else if c=0 then b:='0'; d:=not d; else c:=c-1; end if; end if; end if; q<=d; end process; end fan_arc; 这个程序是实现歌曲播放的一个模块,输入a[13..0]的值由前面一个控制每个音长短的模块送给,但我看不懂这个控制音高的程序,请高人指点一下啊! |