打印

求助 STM8L的USART问题

[复制链接]
4834|3
手机看帖
扫描二维码
随时随地手机跟帖
楼主
jiweili010|  楼主 | 2011-3-23 16:54 | 只看该作者 回帖奖励 |倒序浏览

菜鸟求助关于STM8L152C的USART的问题

我现在刚接触这款单片机,通讯弄不起来,我是用中断方式的,现在数据都有问题,我我是收完发送回一个数据给上位机,但是现在不好使,并且单收单发也有问题,现在把程序粘上来,请各位帮帮忙,感激不尽

#define   USART_GLOBALS
#include "include.h"
//串行口
//uchar time_uar_period;  /*两次接收成功之间的间隔*/
//uchar time_rece_byte;  /*接收两个字节之间的间隔*/
volatile uchar cnt_rece_num;//接收到的字节个数
volatile uchar cnt_tras_num;//发送字节个数
volatile uchar checkoutError;      //接收数据错误
uchar uar_tras_buf[40]; /*连续发送40个字节*/
uchar uar_rece_buf[30]; /*连续接收30个字节*/
uchar uar_tras_succeed;
uchar uar_rece_succeed;
uchar fg_now_receive;

uchar sendPosi;     //发送位
void deal_usart(void);
uint getRegisterVal(uint addr);
uint setCoilVal(uint addr,uint tempData);
uint setRegisterVal(uint addr,uint tempData);
/* CRC 高位字节值表 */
const uchar  auchCRCHi[] = {
0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0,
0x80, 0x41, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41,
0x00, 0xC1, 0x81, 0x40, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0,
0x80, 0x41, 0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1, 0x81, 0x40,
0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1,
0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0, 0x80, 0x41,
0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1,
0x81, 0x40, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41,
0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0,
0x80, 0x41, 0x00, 0xC1, 0x81, 0x40, 0x00, 0xC1, 0x81, 0x40,
0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1,
0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1, 0x81, 0x40,
0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0,
0x80, 0x41, 0x00, 0xC1, 0x81, 0x40, 0x00, 0xC1, 0x81, 0x40,
0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0,
0x80, 0x41, 0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1, 0x81, 0x40,
0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0,
0x80, 0x41, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41,
0x00, 0xC1, 0x81, 0x40, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0,
0x80, 0x41, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41,
0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0,
0x80, 0x41, 0x00, 0xC1, 0x81, 0x40, 0x00, 0xC1, 0x81, 0x40,
0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1,
0x81, 0x40, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41,
0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0,
0x80, 0x41, 0x00, 0xC1, 0x81, 0x40
} ;
/* CRC低位字节值表*/
const uchar  auchCRCLo[] = {
0x00, 0xC0, 0xC1, 0x01, 0xC3, 0x03, 0x02, 0xC2, 0xC6, 0x06,
0x07, 0xC7, 0x05, 0xC5, 0xC4, 0x04, 0xCC, 0x0C, 0x0D, 0xCD,
0x0F, 0xCF, 0xCE, 0x0E, 0x0A, 0xCA, 0xCB, 0x0B, 0xC9, 0x09,
0x08, 0xC8, 0xD8, 0x18, 0x19, 0xD9, 0x1B, 0xDB, 0xDA, 0x1A,
0x1E, 0xDE, 0xDF, 0x1F, 0xDD, 0x1D, 0x1C, 0xDC, 0x14, 0xD4,
0xD5, 0x15, 0xD7, 0x17, 0x16, 0xD6, 0xD2, 0x12, 0x13, 0xD3,
0x11, 0xD1, 0xD0, 0x10, 0xF0, 0x30, 0x31, 0xF1, 0x33, 0xF3,
0xF2, 0x32, 0x36, 0xF6, 0xF7, 0x37, 0xF5, 0x35, 0x34, 0xF4,
0x3C, 0xFC, 0xFD, 0x3D, 0xFF, 0x3F, 0x3E, 0xFE, 0xFA, 0x3A,
0x3B, 0xFB, 0x39, 0xF9, 0xF8, 0x38, 0x28, 0xE8, 0xE9, 0x29,
0xEB, 0x2B, 0x2A, 0xEA, 0xEE, 0x2E, 0x2F, 0xEF, 0x2D, 0xED,
0xEC, 0x2C, 0xE4, 0x24, 0x25, 0xE5, 0x27, 0xE7, 0xE6, 0x26,
0x22, 0xE2, 0xE3, 0x23, 0xE1, 0x21, 0x20, 0xE0, 0xA0, 0x60,
0x61, 0xA1, 0x63, 0xA3, 0xA2, 0x62, 0x66, 0xA6, 0xA7, 0x67,
0xA5, 0x65, 0x64, 0xA4, 0x6C, 0xAC, 0xAD, 0x6D, 0xAF, 0x6F,
0x6E, 0xAE, 0xAA, 0x6A, 0x6B, 0xAB, 0x69, 0xA9, 0xA8, 0x68,
0x78, 0xB8, 0xB9, 0x79, 0xBB, 0x7B, 0x7A, 0xBA, 0xBE, 0x7E,
0x7F, 0xBF, 0x7D, 0xBD, 0xBC, 0x7C, 0xB4, 0x74, 0x75, 0xB5,
0x77, 0xB7, 0xB6, 0x76, 0x72, 0xB2, 0xB3, 0x73, 0xB1, 0x71,
0x70, 0xB0, 0x50, 0x90, 0x91, 0x51, 0x93, 0x53, 0x52, 0x92,
0x96, 0x56, 0x57, 0x97, 0x55, 0x95, 0x94, 0x54, 0x9C, 0x5C,
0x5D, 0x9D, 0x5F, 0x9F, 0x9E, 0x5E, 0x5A, 0x9A, 0x9B, 0x5B,
0x99, 0x59, 0x58, 0x98, 0x88, 0x48, 0x49, 0x89, 0x4B, 0x8B,
0x8A, 0x4A, 0x4E, 0x8E, 0x8F, 0x4F, 0x8D, 0x4D, 0x4C, 0x8C,
0x44, 0x84, 0x85, 0x45, 0x87, 0x47, 0x46, 0x86, 0x82, 0x42,
0x43, 0x83, 0x41, 0x81, 0x80, 0x40
} ;
//////////////////////////////////////////////////////
//
//串行口
//
//////////////////////////////////////////////////////
void uart0_init(void)
{
    USART1_BRR2 = 0x00; //disable while setting baud rate  
USART1_BRR1 = 0x00;

//UCSRC = 0xA6; //异步 8位数据 1停止位 偶校验

//UBRRL = 0x33; //set baud rate as 9600
  //UBRRH = 0x00;
        USART1_CR3 = 0x00;
switch (data_value)
{
  case 0:
  USART1_CR1 = 0x00; //异步 8位数据 1停止位 无校验
  break;
  case 2:
  USART1_CR1 = 0x06; //异步 8位数据 1停止位 奇校验
  break;
  case 1:
  USART1_CR1 = 0x04; //异步 8位数据 1停止位 偶校验
  break;
  default:
  break;
}
  //8000000/9600=0x0341
        //8000000/4800 = 0x0682
switch (baud_value)
{
  case 0:
  USART1_BRR2 = 0x02; //set baud rate as 4800
   USART1_BRR1 = 0x68;
  break;
  case 1:
  USART1_BRR2 = 0x01; //set baud rate as 9600
   USART1_BRR1 = 0x34;
  break;

  default:
  break;
}


//UART1_CR2 = 0xD8; //允许接收发送及中断,数据位为8位
        USART1_CR2_TCIEN=1;
        USART1_CR2_RIEN=1;
        USART1_CR2_TEN=1;
        USART1_CR2_REN=1;
        USART1_CR1 &= 0xDF;
RECE_485;//485接收允许

cnt_tras_num=0;
cnt_rece_num=0;
uar_rece_succeed=0;
uar_tras_succeed=0;
fg_now_receive=0;
checkoutError = 0;
}
#pragma vector=USART_R_RXNE_vector
__interrupt void uart0_rx_isr(void)
{
//uart has received a character in UDR

         uchar temp;

  
if ((USART1_SR_FE==1)||(USART1_SR_OR==1)||(USART1_SR_PE==1))
{
checkoutError = 1;
}
//接收错误 //未用到
fg_now_receive = 1;
        
temp = USART1_DR;
uar_rece_buf[cnt_rece_num] = temp;
checkoutError = 0;
//地址不正确,扔掉数据
if (uar_rece_buf[0] != addr_value)
{
     cnt_rece_num = 0;
     checkoutError = 1;
   
}
else if (cnt_rece_num<30)
{
  cnt_rece_num++;//防止数组越界
}
        

}
#pragma vector = USART_T_TXE_vector
__interrupt void uart0_tx_isr(void)
{
      
    //character has been transmitted
  if (++sendPosi<cnt_tras_num)
  {
  while (!USART1_SR_TXE) ;
  USART1_DR = uar_tras_buf[sendPosi];
   
}
else
{
  uar_tras_succeed = 1;
  uar_rece_succeed = 0;
  RECE_485;//485接收允许
  cnt_rece_num = 0;//清接收地址偏移寄存器
  checkoutError = 0;
}
        
      USART1_SR_TC = 0;
}
//////////////////////////////////////////////////////
//
//crc16校验程序
//
//////////////////////////////////////////////////////
uint crc16(uchar *puchMsg, uint usDataLen)
{
uchar uchCRCHi = 0xFF ; /* 高CRC字节初始化 */
uchar uchCRCLo = 0xFF ; /* 低CRC 字节初始化 */
unsigned long uIndex ; /* CRC循环中的索引 */
while (usDataLen--) /* 传输消息缓冲区 */
{
  uIndex = uchCRCHi ^ *puchMsg++ ; /* 计算CRC */
  uchCRCHi = uchCRCLo ^ auchCRCHi[uIndex] ;
  uchCRCLo = auchCRCLo[uIndex] ;
}
return (uchCRCHi << 8 | uchCRCLo) ;
}


//开始发送
void beginSend(void)
{
SEND_485; //设为发送

while (USART1_SR_TXE==0) ;  //发送数据寄存器空
   sendPosi = 0;  
   USART1_DR = uar_tras_buf[sendPosi];
}
//////////////////////////////////////////////////////
//
//读寄存器
//
//////////////////////////////////////////////////////
void readRegisters(void)
{
uint addr;
uint tempAddr;
uint crcData;
uint readCount;
uint byteCount;
uint i;
uint tempData = 0;
//起始地址
addr = (uar_rece_buf[2]<<8) + uar_rece_buf[3];
tempAddr = addr & 0xffff;
//寄存器数量
readCount = (uar_rece_buf[4]<<8) + uar_rece_buf[5]; //要读的个数
byteCount = readCount * 2;

for(i=0; i<byteCount; i+=2,tempAddr++)
{
  tempData = getRegisterVal(tempAddr);
      
  uar_tras_buf[i+3] = tempData >> 8;         
  uar_tras_buf[i+4] = tempData & 0xff;   
}

uar_tras_buf[0] = addr_value;
uar_tras_buf[1] = 0x03;
uar_tras_buf[2] = byteCount;
byteCount += 3;

crcData = crc16(uar_tras_buf,byteCount);

uar_tras_buf[byteCount] = crcData >> 8;
byteCount++;
uar_tras_buf[byteCount] = crcData & 0xff;

cnt_tras_num = byteCount + 1;

beginSend();
}
//////////////////////////////////////////////////////
//
//强制单个线圈
//
//////////////////////////////////////////////////////
void forceSingleCoil(void)
{
uint addr;
uint tempAddr;
uint tempData;
uint  onOff;
uchar i;

addr = (uar_rece_buf[2]<<8) + uar_rece_buf[3];
tempAddr = addr & 0xffff;
onOff = (uar_rece_buf[4]<<8) + uar_rece_buf[5];

if(onOff == 0xff00)
{ //设为ON
  tempData = 1;
}

else if(onOff == 0x0000)
{ //设为OFF
  tempData = 0;
}
setCoilVal(tempAddr,tempData);

for(i=0;i<cnt_rece_num;i++)
{
  uar_tras_buf = uar_rece_buf;
}
cnt_tras_num = cnt_rece_num;

beginSend();
}
//////////////////////////////////////////////////////
//
//设置单个寄存器
//
//////////////////////////////////////////////////////
void presetSingleRegisters(void)
{
uint addr;
uint tempAddr;
uint crcData;
uint tempData;

addr = (uar_rece_buf[2]<<8) +uar_rece_buf[3];
tempAddr = addr & 0xffff;

tempData = (uar_rece_buf[4]<<8) + uar_rece_buf[5];
  
setRegisterVal(tempAddr,tempData);   
  
uar_tras_buf[0] = addr_value;
uar_tras_buf[1] = 0x06;
uar_tras_buf[2] = addr >> 8;
uar_tras_buf[3] = addr & 0xff;
uar_tras_buf[4] = tempData >> 8;
uar_tras_buf[5] = tempData & 0xff;

crcData = crc16(uar_tras_buf,6);

uar_tras_buf[6] = crcData >> 8;
uar_tras_buf[7] = crcData & 0xff;
cnt_tras_num = 8;

beginSend();
}
//////////////////////////////////////////////////////
//
//设置多个寄存器
//
//////////////////////////////////////////////////////
void presetMultipleRegisters(void)
{
uint addr;
uint tempAddr;
//uchar byteCount;
uint setCount;
uint crcData;
uint tempData;
uchar i;

addr = (uar_rece_buf[2]<<8) + uar_rece_buf[3];
tempAddr = addr & 0xffff;

setCount = (uar_rece_buf[4]<<8) + uar_rece_buf[5];
//byteCount = uar_rece_buf[6];

for(i=0;i<setCount;i++,tempAddr++)
{
  tempData = (uar_rece_buf[i*2+7]<<8) + uar_rece_buf[i*2+8];

  setRegisterVal(tempAddr,tempData);   
}

uar_tras_buf[0] = addr_value;
uar_tras_buf[1] = 16;
uar_tras_buf[2] = addr >> 8;
uar_tras_buf[3] = addr & 0xff;
uar_tras_buf[4] = setCount >> 8;
uar_tras_buf[5] = setCount & 0xff;

crcData = crc16(uar_tras_buf,6);

uar_tras_buf[6] = crcData >> 8;
uar_tras_buf[7] = crcData & 0xff;
cnt_tras_num = 8;



beginSend();

}

//////////////////////////////////////////////////////
//
//检查uart0数据
//
//////////////////////////////////////////////////////
void checkComm0Modbus(void)
{
uint crcData;
uint tempData;

if(cnt_rece_num> 4)
{
  switch(uar_rece_buf[1])
  {
   //case 1://读取线圈状态(读取点 16位以内)
   case 3://读取保持寄存器(一个或多个)
   case 5://强制单个线圈
   case 6://设置单个寄存器
     
     if(cnt_rece_num >= 8)
     {//接收完成一组数据
      //应该关闭接收中断
      
      if((uar_rece_buf[0]==addr_value) && (checkoutError==0))
      {
       crcData = crc16(uar_rece_buf,6);
      
       if(crcData == uar_rece_buf[7]+(uar_rece_buf[6]<<8))
       {//校验正确
        
          if(uar_rece_buf[1] == 3)
        {//读取保持寄存器(一个或多个)
         readRegisters();
        }
        else if(uar_rece_buf[1] == 5)
        {//强制单个线圈
         forceSingleCoil();        
        }
        else if(uar_rece_buf[1] == 6)
        {
         presetSingleRegisters();        
        }
       }
      }  
         
      cnt_rece_num = 0;
      checkoutError = 0;
     }
     break;
   
   //case 15://设置多个线圈
     
    // break;
   
   case 16://设置多个寄存器
     tempData = (uar_rece_buf[4]<<8) + uar_rece_buf[5];
     tempData = tempData * 2; //数据个数
     tempData += 9;
     
     if(cnt_rece_num >= tempData)
     {
      if(uar_rece_buf[0]==addr_value && checkoutError==0)
      {
       crcData = crc16(uar_rece_buf,tempData-2);
      
       if(crcData == (uar_rece_buf[tempData-2]<<8)+ uar_rece_buf[tempData-1])
       {
        presetMultipleRegisters();   
       }
      }
      
      cnt_rece_num = 0;
      checkoutError = 0;
     }
     break;
        
   default:
   
     break;   
  }
  
}
}
//////////////////////////////////////////////////////
//
//设定线圈状态 返回0表示成功
//
//////////////////////////////////////////////////////
uint setCoilVal(uint addr,uint tempData)
{
uint result = 0;
uint tempAddr;

tempAddr = addr & 0xffff;
   
switch(tempAddr & 0xff)
{
  case 0:  
                  if (tempData==0x00FF)
                  {
                    RELAY_H;
                  }
                  else if (tempData==0xFF00)
                  {
                    RELAY_L;
                  }
    break;
  case 1:
                  if (tempData==0x00FF)
                  {
                     RELAY1_H;
                  }
                  else if (tempData==0xFF00)
                  {
                     RELAY1_L;
                  }
                  
    break;
  case 2:  
    break;  
  case 3:
    break;  
  case 4:
    break;  
  case 5:
    break;
  case 6:
    break;   
  case 7:
    break;  
  case 8:
    break;  
  case 9:
    break;  
  case 10:
    break;
  case 11:
    break;
  case 12:
    break;
  case 13:
    break;
  case 14:
    break;
  case 15:
      
          break;
   
    //break;
               
  default:
   
    break;  
}

return result;
}
//////////////////////////////////////////////////////
//
//取寄存器值 返回0表示成功
//
//////////////////////////////////////////////////////
uint getRegisterVal(uint addr)
{

uint tempAddr;
uint tempData;
//uint testRegister[2];

tempAddr = addr & 0xff ;

switch(tempAddr&0xFF)
{
  case 0:
  
    break;  
              
  default:   
    break;  
}

return tempData;
}
//////////////////////////////////////////////////////
//
//设置寄存器值 返回0表示成功
//
//////////////////////////////////////////////////////
uint setRegisterVal(uint addr,uint tempData)
{
uint result = 0;
uint tempAddr;


tempAddr = addr & 0xff;

  
switch(tempAddr & 0xff)
{
  case 0:
  
    break;   
     
               
  default:
   
    break;  
}
     

return result;
}

使用特权

评论回复
沙发
jiweili010|  楼主 | 2011-3-23 17:00 | 只看该作者
程序有点长,不过关键问题在前面,后面和这问题没关系的,并且在其他文件中时钟已经初始化好了

使用特权

评论回复
板凳
jiweili010|  楼主 | 2011-3-23 17:06 | 只看该作者 |阅读模式
void uart0_init(void)
{
    USART1_BRR2 = 0x00; //disable while setting baud rate  
USART1_BRR1 = 0x00;
   USART1_CR3 = 0x00;
switch (data_value)
{
  case 0:
  USART1_CR1 = 0x00; //异步 8位数据 1停止位 无校验
  break;
  case 2:
  USART1_CR1 = 0x06; //异步 8位数据 1停止位 奇校验
  break;
  case 1:
  USART1_CR1 = 0x04; //异步 8位数据 1停止位 偶校验
  break;
  default:
  break;
}
  //8000000/9600=0x0341
        //8000000/4800 = 0x0682
switch (baud_value)
{
  case 0:
  USART1_BRR2 = 0x02; //set baud rate as 4800
   USART1_BRR1 = 0x68;
  break;
  case 1:
  USART1_BRR2 = 0x01; //set baud rate as 9600
   USART1_BRR1 = 0x34;
  break;

  default:
  break;
}


//UART1_CR2 = 0xD8; //允许接收发送及中断,数据位为8位
        USART1_CR2_TCIEN=1;
        USART1_CR2_RIEN=1;
        USART1_CR2_TEN=1;
        USART1_CR2_REN=1;
        USART1_CR1 &= 0xDF;
RECE_485;//485接收允许

cnt_tras_num=0;
cnt_rece_num=0;
uar_rece_succeed=0;
uar_tras_succeed=0;
fg_now_receive=0;
checkoutError = 0;
}
#pragma vector=USART_R_RXNE_vector
__interrupt void uart0_rx_isr(void)
{
//uart has received a character in UDR

         uchar temp;

  
if ((USART1_SR_FE==1)||USART1_SR_PE==1))
{
checkoutError = 1;
}
//接收错误 //未用到
fg_now_receive = 1;
        
temp = USART1_DR;
uar_rece_buf[cnt_rece_num] = temp;
checkoutError = 0;
//地址不正确,扔掉数据
if (uar_rece_buf[0] != addr_value)
{
     cnt_rece_num = 0;
     checkoutError = 1;
   
}
else if (cnt_rece_num<30)
{
  cnt_rece_num++;//防止数组越界
}
        

}
#pragma vector = USART_T_TXE_vector
__interrupt void uart0_tx_isr(void)
{
      
    //character has been transmitted
  if (++sendPosi<cnt_tras_num)
  {
  while (!USART1_SR_TXE) ;
  USART1_DR = uar_tras_buf[sendPosi];
   
}
else
{
  uar_tras_succeed = 1;
  uar_rece_succeed = 0;
  RECE_485;//485接收允许
  cnt_rece_num = 0;//清接收地址偏移寄存器
  checkoutError = 0;
}
        
      USART1_SR_TC = 0;
}

//开始发送
void beginSend(void)
{
SEND_485; //设为发送

while (USART1_SR_TXE==0) ;  //发送数据寄存器空
   sendPosi = 0;  
   USART1_DR = uar_tras_buf[sendPosi];
}
数据数收发不正常,不知什么原因,时钟初始化如下
void clock_init(void)
{
  //Fmaster = 8.00M
  //Fcpu = Fmaster
  CLK_CKDIVR = 0x00;
  CLK_ECKR |=0X01;  //使能外部时钟
  Delay(1000);
  while((CLK_ECKR && 0x02 ) == 0)  // 使能  ready
  {
    _NOP();
  }
  
  CLK_SWR = 0x04;
  CLK_SWCR |= 0x02;
  Delay(1000);
  while((CLK_SWCR && 0x01 ) == 0)  // Wait switch  ready
  {
   _NOP();
  }
  CLK_CSSR |= 0x01;
  
  CLK_PCKENR1 |=0X27;//开启时钟,stm8的外设时钟可控
  CLK_PCKENR2 |=0X20;//开启时钟,stm8的外设时钟可控
}

求指点
地板
solarmax1969| | 2012-8-27 10:22 | 只看该作者
具体问题描述清楚点,大家才有目标。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

0

主题

23

帖子

0

粉丝