发新帖我要提问
12
返回列表
打印

SRL-type的资源可以power-on initialization吗?

[复制链接]
楼主: AutoESL
手机看帖
扫描二维码
随时随地手机跟帖
21
:L天,大圣活跃也不好,好多问题都是大圣给回答的。

使用特权

评论回复
22
AutoESL|  楼主 | 2011-7-21 11:22 | 只看该作者
:lol

使用特权

评论回复
23
AutoESL|  楼主 | 2011-7-21 11:23 | 只看该作者
500年

使用特权

评论回复
24
GoldSunMonkey| | 2011-7-21 13:44 | 只看该作者
;P哈哈,是呀:)

使用特权

评论回复
25
wahahaabc| | 2011-8-8 20:55 | 只看该作者
19# dolido
要不怎么叫猴哥呀

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则