打印

仿真不出波形

[复制链接]
2253|8
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
tianyi1028|  楼主 | 2011-8-30 10:30 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
先上测试代码:
module test_t;
// Inputs
reg clk_1M;
reg RST;
// Outputs
wire f1;
wire f2;
// Instantiate the Unit Under Test (UUT)
test uut (
  .clk_1M(clk_1M),
  .RST(RST),
  .f1(f1),
  .f2(f2)
);
initial begin
  // Initialize Inputs
  clk_1M = 1'b0;
  RST = 1'b0;
  #100;
        
     forever //begin
        
      #5  clk_1M = ~clk_1M;
      
     //end
end
      
endmodule

为了测试FPGA的引脚是否好使,想用简单的输出测试下,上面是testbench,但是运行后没有波形,不知道为什么,仿真工具是modsim

相关帖子

沙发
lwq030736| | 2011-9-3 19:21 | 只看该作者
什么意思?看不懂

使用特权

评论回复
板凳
tingtingma0109| | 2011-9-4 23:56 | 只看该作者
是高复位还是低复位?

使用特权

评论回复
地板
weicz| | 2011-9-6 13:03 | 只看该作者
复位一直是0?

使用特权

评论回复
5
tianyi1028|  楼主 | 2011-9-16 16:56 | 只看该作者
3# tingtingma0109

低电平复位

使用特权

评论回复
6
yqyq31601099| | 2011-9-22 16:46 | 只看该作者
你的复位信号 在testbench中 没拉高啊

使用特权

评论回复
7
离场悲剧| | 2011-9-26 19:58 | 只看该作者
。。。。呃,testbench写错了,得把rst拉高

使用特权

评论回复
8
tianyi1028|  楼主 | 2011-9-27 10:25 | 只看该作者
6# yqyq31601099

这样啊,谢谢,wo

使用特权

评论回复
9
永恒志谦| | 2011-9-29 19:55 | 只看该作者
复位引脚啊……

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

0

主题

21

帖子

1

粉丝