打印

怎么看不见哪里有加法的说明?

[复制链接]
1480|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
将军令|  楼主 | 2008-8-18 12:04 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
这是一个VHDL语言的8bit加法器的code,怎么看不见哪里有加法的说明?

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

ENTITY top IS

        port (
        DataA: in  std_logic_vector(7 downto 0); 
        DataB: in  std_logic_vector(7 downto 0); 
        Result: out  std_logic_vector(7 downto 0));

END top;

architecture example of top is

    component add8
        port (DataA: in  std_logic_vector(7 downto 0); 
        DataB: in  std_logic_vector(7 downto 0); 
        Result: out  std_logic_vector(7 downto 0));
    end component;

begin
  -- Instantiate Lattice module
  add8_1: add8 port map(
           DataA =>  DataA,
           DataB =>  DataB,
           Result => Result);

end example;

相关帖子

沙发
weiyi192| | 2008-8-18 17:33 | 只看该作者

错的吧

使用特权

评论回复
板凳
linqing171| | 2008-8-20 15:36 | 只看该作者

没有,这里面是空的。

architecture example of top is
这句
example 这个词也是多余的。


    component add8
        port (DataA: in  std_logic_vector(7 downto 0); 
        DataB: in  std_logic_vector(7 downto 0); 
        Result: out  std_logic_vector(7 downto 0));
    end component;

这个add8是不是库里的东西?还是什么?能打开看看源代码么?

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

180

主题

937

帖子

1

粉丝