由use work.my_pkg.all调用后,即可直接调用元件mux2来设计mux8。
library ieee;
use ieee.std_logic_l164.all;
use work.my_pkg.all;
entity mux8 is
port (d : in std_ logic_vector(7 downto 0);
s : in std_logic_vector(2 downto 0);
y : out std_logic);
end mux8;
architecture a of mux8 is
signal yl, y2: std_logic;
begin
ul:mux4 port map(d=>d(7 downto 4), s=>s(1 downto 0), y => yl);
u2:mux4 port map(d=>d(3 downto 0), s=>s(1 downto 0), y => y2);
u3:mux2 port map (a => yl, b => y2, s => s(2), y => y);
end a; |