-- I2C data read
process (ACK)
begin
if ACK'event and ACK = '1' then
if CLB = '0' then
DOUT_S <= "00000000";
else STATE = "11" then--Error (10500): VHDL syntax error at i2cs_rx.vhd(156) near text "="; expecting "(", or "'", or "."
DOUT_S <= SHIFTREG(7 downto 0);
end if;
end if;
end process;
Error (10500): VHDL syntax error at i2cs_rx.vhd(156) near text "="; expecting "(", or "'", or "."
请问这个语句哪里出了问题。
signal STATE : std_logic_vector(1 downto 0); |