打印

又遇到一个问题: ERROR:Place 1012

[复制链接]
3618|9
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
nongfuxu|  楼主 | 2012-1-20 17:59 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
nongfuxu|  楼主 | 2012-1-20 18:02 | 只看该作者
查网后找到原因:
由于非GCLK脚不具有BUFG,在 PALCE&ROUTE由于为找到IBUFG而出错。

使用特权

评论回复
板凳
nongfuxu|  楼主 | 2012-1-20 18:44 | 只看该作者
解决方法有二条路径
1)在planahead重要定义CLK IN管脚.
2)若PCB板已经布好,则用以下语句来借道.
< NET "arm_nWE" CLOCK_DEDICATED_ROUTE = FALSE; >

使用特权

评论回复
地板
nongfuxu|  楼主 | 2012-1-20 18:47 | 只看该作者
采用第二种方法后,会出现警告,不用管它就行了.
WARNING:Place:1019 - A clock IOB / clock component pair have been found that are not placed at an optimal clock IOB / clock site pair. The clock component <arm_nWE_BUFGP/BUFG> is placed at site <BUFGMUX7>. The IO component <arm_nWE> is placed at site <P140>. This will not allow the use of the fast path between the IO and the Clock buffer. This is normally an ERROR but the CLOCK_DEDICATED_ROUTE constraint was applied on COMP.PIN <arm_nWE.PAD> allowing your design to continue. This constraint disables all clock placer rules related to the specified COMP.PIN. The use of this override is highly discouraged as it may lead to very poor timing results. It is recommended that this error condition be corrected in the design.

使用特权

评论回复
5
Backkom80| | 2012-1-20 22:17 | 只看该作者
:lol
顶一下,

使用特权

评论回复
6
nongfuxu|  楼主 | 2012-1-20 22:48 | 只看该作者
你还没有休息啊!

使用特权

评论回复
7
Cortex-M0| | 2012-1-21 14:15 | 只看该作者
总结的不错,顶~~~

使用特权

评论回复
8
GoldSunMonkey| | 2012-1-27 10:11 | 只看该作者
第二种方式不是解决问题的根本之道。

使用特权

评论回复
9
GoldSunMonkey| | 2012-1-27 10:12 | 只看该作者
如果速度不高,第二种方式也可以。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:是不是经济不KUA,房价下不来? 高房价只能带来“实体经济挤出效应”。

417

主题

4293

帖子

2

粉丝