打印
[FPGA]

同步下降沿检测

[复制链接]
1239|24
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
gaochy1126|  楼主 | 2022-12-29 21:55 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
module cy4(
       input sig_a,
       input clk,
       input rstb,
       output sig_a_faledge
       );
reg sig_a_d1;
always @(posedge clk or negedge rstb)
  if(!rstb) sig_a_d1 <= 1'b0;
  else sig_a_d1 <= sig_a;
assign sig_a_faledge = !sig_a & sig_a_d1;

endmodule

测试脚本代码:

`timescale 1 ns/ 1 ps
module cy4_vlg_tst();

reg eachvec;

reg clk;
reg rstb;
reg sig_a;

wire sig_a_faledge;

cy4 i1 (
.clk(clk),
.rstb(rstb),
.sig_a(sig_a),
.sig_a_faledge(sig_a_faledge)
);

initial
begin
sig_a = 1;
clk = 0;
rstb = 0;

100;

rstb = 1;

100;

sig_a = 0;

100;

<span id="MathJax-Element-1-Frame" tabindex="0" data-mathml="stop;" role="presentation" style="box-sizing: border-box; border: 0px; font: inherit; vertical-align: baseline; position: relative;">stop;stop;display(“Running testbench”);
end
always #20 clk = ~clk ;
endmodule


使用特权

评论回复
沙发
minzisc| | 2022-12-31 21:43 | 只看该作者
资料很实用,谢谢楼主!                                 

使用特权

评论回复
评论
gaochy1126 2022-12-31 21:48 回复TA
赞一个 
板凳
mickit| | 2022-12-31 21:43 | 只看该作者
以后多交流交流                  

使用特权

评论回复
评论
gaochy1126 2022-12-31 21:48 回复TA
赞一个 
地板
quickman| | 2022-12-31 21:43 | 只看该作者
以后多交流交流                  

使用特权

评论回复
评论
gaochy1126 2022-12-31 21:48 回复TA
赞一个 
5
xiaoyaodz| | 2022-12-31 21:43 | 只看该作者
资料 好好收藏一下                                 

使用特权

评论回复
评论
gaochy1126 2022-12-31 21:48 回复TA
赞一个 
6
deliahouse887| | 2022-12-31 21:44 | 只看该作者
楼主好人,资料很好。谢谢楼主!                                 

使用特权

评论回复
评论
gaochy1126 2022-12-31 21:48 回复TA
赞一个 
7
jonas222| | 2022-12-31 21:44 | 只看该作者
资料很实用,谢谢楼主!                                 

使用特权

评论回复
评论
gaochy1126 2022-12-31 21:48 回复TA
赞一个 
8
tifmill| | 2022-12-31 21:44 | 只看该作者
有时间需要好好看看   不错                                 

使用特权

评论回复
评论
gaochy1126 2022-12-31 21:48 回复TA
赞一个 
9
hellosdc| | 2022-12-31 21:44 | 只看该作者
相当全的资料,很适合初学者                                 

使用特权

评论回复
评论
gaochy1126 2022-12-31 21:48 回复TA
赞一个 
10
i1mcu| | 2022-12-31 21:44 | 只看该作者
内容还是非常丰富的                                 

使用特权

评论回复
评论
gaochy1126 2022-12-31 21:49 回复TA
赞一个 
11
fentianyou| | 2022-12-31 21:44 | 只看该作者
这些资料太全了!!!                 

使用特权

评论回复
评论
gaochy1126 2022-12-31 21:49 回复TA
赞一个 
12
lzbf| | 2022-12-31 21:45 | 只看该作者
内容还是非常丰富的                                 

使用特权

评论回复
评论
gaochy1126 2022-12-31 21:49 回复TA
赞一个 
13
uytyu| | 2022-12-31 21:45 | 只看该作者
相当全的资料,很适合初学者                                 

使用特权

评论回复
评论
gaochy1126 2022-12-31 21:49 回复TA
赞一个 
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:这个社会混好的两种人:一是有权有势,二是没脸没皮的。

1025

主题

11271

帖子

25

粉丝