打印

跟着我从零开始入门FPGA(一周入门XXOO系列)

[复制链接]
楼主: McuPlayer
手机看帖
扫描二维码
随时随地手机跟帖
21
ji7411| | 2012-5-14 13:40 | 只看该作者 回帖奖励 |倒序浏览
支持,留名,哈哈

使用特权

评论回复
22
xjhw| | 2012-5-14 13:53 | 只看该作者
这个必须顶,感谢楼主分享。

使用特权

评论回复
23
nongfuxu| | 2012-5-14 14:02 | 只看该作者
支持LZ布道Verilog.

使用特权

评论回复
24
workmanstudio| | 2012-5-14 14:02 | 只看该作者
占座,支持楼主!

使用特权

评论回复
25
rockli| | 2012-5-14 14:03 | 只看该作者
好贴

使用特权

评论回复
26
xtaylg| | 2012-5-14 14:32 | 只看该作者
我来看看大神能**多久!:lol

使用特权

评论回复
27
supreme42| | 2012-5-14 15:51 | 只看该作者
裸奔顶lz,赶紧更新吧,等着学呢

使用特权

评论回复
28
soul24k| | 2012-5-14 16:53 | 只看该作者
LZ讲的很通俗,语言很幽默
支持你

使用特权

评论回复
29
lxyppc| | 2012-5-14 17:43 | 只看该作者
语言很有意思,顶了

使用特权

评论回复
30
GoldSunMonkey| | 2012-5-14 17:46 | 只看该作者
兄弟加人~

使用特权

评论回复
31
video_vb| | 2012-5-14 17:46 | 只看该作者
耐心等待

使用特权

评论回复
32
nongfuxu| | 2012-5-14 17:47 | 只看该作者
没错,我们就是拿C语言照猫画虎,下面是一个“老虎”的模型。
...
好了,咱继续照猫画虎,不过老虎毕竟跟猫是不一样的,比如老虎会虎啸,猫只会喵喵。
...
好了,我们要虎啸了,同时喵喵几下,对比着看
...
当然,Verilog也有他的?表达式,那用上了,就真的是如虎添翼了  ---如猫添翼?:)
...
看到这里,你应该知道,文艺青年和苦B青年其实也有很多共同之处的,如果你认识文艺青年,那跟苦B青年交朋友也不难了。

这帖子太好玩了!

使用特权

评论回复
33
baker0502| | 2012-5-14 17:57 | 只看该作者
要给力啊,楼主,顶你!

使用特权

评论回复
34
McuPlayer|  楼主 | 2012-5-14 18:20 | 只看该作者
谢谢各位捧场。

今天又完成了一贴,已更新在占位上了。
周五完成7个贴,没多大障碍,反正都是闲叙,不求严谨,只求形象。

使用特权

评论回复
35
[惊涛骇浪]| | 2012-5-14 18:24 | 只看该作者
确实很犀利

使用特权

评论回复
36
baker0502| | 2012-5-14 18:37 | 只看该作者
楼主,assign out (sel==2'b00) ? in0 : (sel==2'b01) ? in1 : (sel==2'b10) ? in2 : (sel==2'b11) ? in3;

这里是不是少了个=啊?
assign out=(sel==2'b00) ? in0 : (sel==2'b01) ? in1 : (sel==2'b10) ? in2 : (sel==2'b11) ? in3;

使用特权

评论回复
评分
参与人数 1威望 +6 收起 理由
McuPlayer + 6 谢谢,您的细心,我的BUG
37
宋业科| | 2012-5-14 19:03 | 只看该作者
支持,非常好,可惜看不懂,只懂机器码。

使用特权

评论回复
38
nongfuxu| | 2012-5-15 00:19 | 只看该作者
assign out (sel==2'b00) ? in0 : (sel==2'b01) ? in1 : (sel==2'b10) ? in2 : (sel==2'b11) ? in3;

脑,保健操啦!

使用特权

评论回复
39
GoldSunMonkey| | 2012-5-15 10:16 | 只看该作者
:lol

使用特权

评论回复
40
McuPlayer|  楼主 | 2012-5-15 18:11 | 只看该作者
打字蛮辛苦的,又更新了一帖

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则