打印

小白求解 MAX PLUS 2运行提示错误。。

[复制链接]
982|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
library ieee;
use ieee.std_logic_1164.all;
entity lq123 is
port(
     a   : in  integer range 0 to 15;
     din : in  std_logic_vector(7 downto 0);
     dout: out std_logic_vector(7 downto 0);
          clk,cs,we,ce:in std_logic    );
end entity lq123;
architecture one of lq123 is
type ram_type is array (0 to 15)of std_logic_vector(7 downto 0);
begin
    process(clk,a,din,cs,we,ce)is
      variable mem:ram_type;
begin
if clk'event and clk ='1'then
      dout <=(others => 'Z');
    if cs ='0'then
      if ce='0'then
        dout <= mem(a);
      elsif we='0'then
        mem(a):=din;
      end if;
    end if;
  end if ;
end process;
end one;

V~{(J6[%TZCBECI@M5~_@B4.jpg (50.8 KB )

V~{(J6[%TZCBECI@M5~_@B4.jpg

90(LY@@2K`D$[[M_Q_HL(0U.jpg (12.8 KB )

90(LY@@2K`D$[[M_Q_HL(0U.jpg

相关帖子

沙发
liuliuqiu051|  楼主 | 2012-12-20 08:21 | 只看该作者
自己顶起来

使用特权

评论回复
板凳
zbhbyc| | 2012-12-20 09:32 | 只看该作者
maxplus II 的孙子Quqrtus II 都到12.0。a的定义问题

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

0

主题

0

帖子

0

粉丝