打印
[verilog]

有人知道该怎么写吗?指点一下。谢谢

[复制链接]
593|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
魔人布欧|  楼主 | 2016-4-19 10:10 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
这是一个C语言中的多重for循环,该怎么改写啊 ?
void main(short int** pd,int* nse,int il,int jl)

{
        
        int i,j,k,l;
        
        double avg,temp;
        
    for(i=1;i<15;i++)
      {

        for(j=1;j<15;j++)
         {
            avg=0;
                        
            temp=0;

            for(k=-1;k<=1;k++)
               {
                                
                  for(l=-1;l<=1;l++)
                      {
                                       
                         avg+=(double)pd[i+k][j+l];
                                
                       }
                        
                }
         avg=avg/((double)sn*sn);
            for(k=-1;k<=1;k++)
               {
                                
                  for(l=-1;l<=1;l++)
                      {
                                       
                         temp+=((double)pd[i+k][j+l]-avg)*((double)pd[i+k][j+l]-avg);
        
                       }
        
                }  
还有就是对于数组p[i+k][j+l]在Verilog中是直接表示还是需要转换,该怎样转换。谢谢。

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

20

主题

43

帖子

1

粉丝