打印
[modelsim]

cyclone IVE PLL modulesim 仿真时钟输出不正常怎么破

[复制链接]
1332|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
顶层模块


module Top_Level(

clk,
rst_n,
ddr,
data

);

input clk;
input rst_n;


output [7:0]data;
output [5:0]ddr;

wire clk_10k;
wire pll_rst;

//assign pll_rst = ~rst_n;

PLL U0(
        .inclk0(clk),
        .c0(clk_10k),
        .areset(!rst_n)
);

//assign  clk_10k = clk

wire [3:0] bit_1;
wire [3:0] bit_2;
wire [3:0] bit_3;
wire [3:0] bit_4;
wire [3:0] bit_5;
wire [3:0] bit_6;

wire [19:0]indata = 20'd123456;

mod U1(
.CLK(clk_10k),
.RST_n(rst_n),
.IN_DATA(indata),
.bit_1(bit_1),
.bit_2(bit_2),
.bit_3(bit_3),
.bit_4(bit_4),
.bit_5(bit_5),
.bit_6(bit_6)
);







decoder U2(

.CLK(clk_10k),
.RST_n(rst_n),
.BIT_1(bit_1),
.BIT_2(bit_2),
.BIT_3(bit_3),
.BIT_4(bit_4),
.BIT_5(bit_5),
.BIT_6(bit_6),
.DATA_DDR(ddr),
.DATA_BUS(data)


);
















endmodule
PLL配制


硬件能跑 仿真时钟输出抽风


相关帖子

沙发
shcshc1234|  楼主 | 2017-3-14 21:41 | 只看该作者
妈蛋我知道咋回事了
刚才心血来潮来了一发100ms仿真 波出来了。。。
结论是等的时间不够一个周期没出来。。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

24

主题

416

帖子

4

粉丝