打印

求帮助!!!

[复制链接]
349|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
默夏|  楼主 | 2017-4-11 10:19 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
TE, ck, TI, IO, se
:Place:645 - A clock IOB clock component is not placed at an optimal clock
   IOB site. The clock IOB component <clk> is placed at site <G21>. The clock IO
   site can use the fast path between the IO and the Clock buffer/GCLK if the
   IOB is placed in the master Clock IOB Site. If this sub optimal condition is
   acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint
   in the .ucf file to demote this message to a WARNING and allow your design to
   continue. However, the use of this override is highly discouraged as it may
   lead to very poor timing results. It is recommended that this error condition
   be corrected in the design. A list of all the COMP.PINs used in this clock
   placement rule is listed below. These examples can be used directly in the
   .ucf file to override this clock rule.
   < NET "clk" CLOCK_DEDICATED_ROUTE = FALSE; >
请问这是什么错误啊??

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

1

主题

2

帖子

0

粉丝