打印
[FPGA]

【银杏科技ARM+FPGA双核心应用】SL2S-25E系列八——计数器实验

[复制链接]
1667|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
一、爆靓照

二、实验简介
       计数器是实现计数的一种最基本的逻辑运算。在 FPGA 中计数器的实质其实就是对触发信号沿进行计数,每当触发事件到来,计数器参数实现累加或者减,到某个状态进行清零操作。FPGA 中常用计数器实现时钟分频、定时器、延时、计数、控制等功能,是 FPGA 设计中常用的一种控制方法。
三、资料包下载(实验源码+详细文档说明)
1、源代码
游客,如果您要查看本帖隐藏内容请回复

2、实验指导书
iCore3L实验指导书八.pdf (716.04 KB)
3、原理图
iCore3L_Reva2.pdf (203.81 KB)


使用特权

评论回复

相关帖子

沙发
lesheng002| | 2022-4-20 11:52 | 只看该作者
谢谢分享

使用特权

评论回复
板凳
sjl666518| | 2023-3-22 16:36 | 只看该作者
谢谢分享

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

92

主题

223

帖子

25

粉丝