打印
[CPLD]

Digilent Nexys3 FPGA开发板评测(转)

[复制链接]
4459|18
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
kkzz|  楼主 | 2013-1-15 23:23 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
FPGA即现场可编程门阵列,属于可编程逻辑器件的一种。随着工艺的进步和EDA设计工具的不断发展,FPGA已经成为实现数字系统的主流平台之一。FPGA的集成度越来越高,功耗和成本却在降低,所以其应用领域也越来越广。对于硬件工程师来说,器件的选型和资源评估变得更重要。

当我们要选择FPGA开发板的时候,首先要问的问题是我们能得到什么以及我们准备用它来做什么?对于初学者来说FPGA是令人生畏的,不过如果有一个好的开发板能达到事半功倍的效果,会发现入门并不困难。接下来我们来看看Digilent公司推出的Nexys3开发板。

Nexys3是基于Xilinx公司新一代的Spartan6 系列FPGA的数字开发平台。Spartan6采用可靠的低功耗45nm 9层金属布线双层氧化工艺技术,实现了低风险、低成本、低功耗以及高性能的完美平衡,最大密度能达到15万个逻辑单元。Spartan6系列内置了丰富的系统级模块包括第二代DSP48A1 Slices、SDRAM控制器、增强型混合时钟管理模块、SelectIOTM技术、功率优化的高速串行收发器、PCI Express兼容端点模块等等,这些优异特性为替代定制ASIC产品提供了低成本的易行的可编程方案。Spartan6 系列的高性价比特别适合于以消费类为导向的DSP设计以及对成本敏感的嵌入式应用,如在汽车娱乐电子,液晶显示和视频监视解析等方面。

Digilent公司是一家在FPGA、微处理技术领域具备顶尖设计、制造水平的公司。产品遍布全球70多个国家、2000多所大学。此次推出的Nexys3开发板是一款Spartan6系列FPGA的入门级开发平台,我们来看看还能从它上面得到什么:

  • 主芯片Xilinx Spartan6 XC6LX16-CSG324
  • 16M字节 Micron公司的 Cellular RAM
  • 16M字节 Micron公司的 并行PCM
  • 16M字节 Micron 公司的Quad-mode SPI 串行PCM
  • 10/100 Ethernet
  • USB-UART
  • A型USB接口,可以接鼠标、键盘和**棒
  • 8位RGB信号 VGA 接口
  • 100MHz 晶振
  • 4个2x6 引脚PmodTM低速连接器
  • 1个68 引脚VHDCI高速扩展连接器
  • 8个拨码开关, 4个按钮
  • 4个7段数码管, 8个 LED
  • 1个 USB 接口提供电源、程序烧录和数据传输

  详细介绍      


相关帖子

沙发
kkzz|  楼主 | 2013-1-15 23:24 | 只看该作者

1.拿到Nexys3的套件只有一块PCB板和一根USB micro下载线。第一次接触心里会有疑问,这也太简单了,这样就能工作了。

Nexys3的供电、编程和数据传输能通过一个USB接口完成。USB接口能提供500mA电流,能够提供Nexys3大部分的应用需求,如果连接器连接太多外设,也可以通过电源插口(J10)外部直流供电。
Nexys3的手册等资料可以在Digilent官网免费下载,值得一提的是Digilent提供了Nexys3的全套电路图,对于想了解和着手Spartan6系列FPGA芯片的电路设计是一个非常好的参考。

2.主芯片Xilinx Spartan6 XC6LX16CSG324

Spartan6主要分两种系列LX和LXT。其中LX系列没有内嵌PCI-Express兼容端点模块和高速串行收发器模块。XC6LX16CSG324有将近15000个逻辑单元,采用了点距更小的Chip Scale封装,能提供多达232个可使用I/O。
XC6LX16CSG324位于PCB的中心,所有的外围电路都是围绕它,由于管脚密集,芯片周围必须留出足够的空间用于布线。从PCB可以看到配置很多滤波电容,整个布局对称美观。

XC6LX16CSG324的I/O资源分为4个Bank,可以接不同的供电电压,所以设计时要综合考虑管脚的设置和PCB的布局,使得PCB走线距离尽量短和整齐。

3.FPGA配置电路

FPGA是基于SRAM工艺的,断电后将会丢失内部逻辑,所以芯片每次上电必须从外部重新配置FPGA。
可以通过USB PROG口(J3)在线配置FPGA,也可以通过JTAG口(J7)进行配置。

可以选择通过非易失性存储器中的文件在上电时自动配置FPGA。Nexys3有3种模式由跳线(J8)选择:BPI、SPI和JTAG启动模式。

BPI启动模式通过非易失性并行PCM配置FPGA,SPI启动模式通过非易失性串行PCM配置FPGA,JTAG启动模式通过USB HID HOST(J4)连接的闪存配置FPGA。

4.存储模块

Nexys3上一共有3种存储器:16MB的Cellular Ram(Micron M45W8MW16),16MB的并行PCM(Micron NP8P128A13T1760E),16MB的串行PCM(Micron NP5Q128A13ESFC0E)。

  • Cellular Ram是一种结合了SRAMDRAM优点的存储器件,具有低功耗和高速读写数据的优点,可以配置成同步模式或者异步模式。同步模式时读写周期达到70ns,异步模式时总线速率80MHz。
  • 非易失性相变存储器PCM是一种结合了FlashEEPROMRAM的优点的存储器件。能进行位读写,不需要块擦出操作,反复读写次数更多,数据保存时间更长。
  • Cellular Ram和并行PCM是共用数据和地址总线,从Nexys3我们也可以看到者两者布局是放在一起的。

串行PCM支持Quad-mode,Dual-mode和标准的SPI总线传输协议。

  

5.电源

Nexys3采用了Linear公司的DC-DC芯片(LTC3633 和LTC3619),产生3.3V、2.5V、1.8V以及1.2V四路电压,最大能承受15V输入电压。
供电方式有3种:USB、外部电源和锂电池,通过跳线(JP1)选择。
跳线J11可以接外部锂电池供电,注意锂电池和外部电源输入是并联起来,所以不能同时接外部电源和锂电池。

                       


使用特权

评论回复
板凳
kkzz|  楼主 | 2013-1-15 23:24 | 只看该作者

6.时钟

Nexys3有一个100M CMOS晶体振荡器。FPGA的时钟输入一定要分配在全局时钟引脚。
XC6LX16CSG324内置了2个时钟管理逻辑片,每个逻辑片包含2个数字时钟管理模块和4个PLL模块,可以灵活产生各种需要的时钟信号。

7.USB PROG接口

Nexys3上有一个Micro USB接口(J3),编程、传输数据和供电都可以通过这一个接口完成。
在PCB背面有一个USB控制芯片,采用的是Cypress公司的高速USB控制器CY7C68013a。该芯片内嵌了8051内核,能支持USB2.0协议。

      


8.USB A型接口

Nexys3上有一个标准USB A型接口(J4),它用作USB HID Host接口用来接鼠标、键盘或者闪存。该接口还不支持Hub功能,只能接一个鼠标或者一个键盘。
在PCB背面可以看到Nexys3使用了Microchip公司的单片机芯片PIC24FJ192,使它完成作为USB HID HOST的功能。

            

9.USB-UART接口(串口)

Nexys3上还有一个Micro USB接口(J13),可以用来与PC机进行串口通信。

USB转接芯片选用了FTDI公司的FT232R,这是一款专门用于USB-UART的单芯片。

                  

10.基本I/O


Nexys3上包括了8个开关,表示开关状态的8个LED灯。一个4位7段数码显示管,5个按钮可以控制数码管的显示和熄灭。

11.以太网口

Nexys3选用了SMSC的以太网物理层收发器芯片LAN8710A,Xilinx的设计工具中提供了以太网MAC控制IP。LAN8710A使用了MII接口并且支持10/100Mb/s。

PCB上还提供了一个RJ45插座。

上电时LAN8710A的默认状态为:MII模式接口,100Base-TX,PHY地址为000。

               


使用特权

评论回复
地板
kkzz|  楼主 | 2013-1-15 23:25 | 只看该作者

12.VGA接口

Xilinx的设计工具提供了VGA控制器的IP,在FPGA中可以完成VGA信号的产生,外围电路简单,只需要一些分压电阻与VGA设备的终端电阻可以产生所需的信号。

输出的VGA信号包括8位RGB颜色信号和2位同步信号,能产生256色的视频图像。

              

13.Pmod连接器

Nexys3上有4个Pmod连接器。都是2x6直角100mil插座。每个插座包括2个电源信号,2个低信号和8个I/O信号。
Pmod连接器主要连接一些低速的和引脚不多的外部模块,需要注意PCB板上到Pmod连接器的信号走线并没有考虑阻抗和信号匹配的问题。

Digilent提供各种各样的Pmod外围模块,设计者可以根据需要灵活的选用,大大提高了扩展性能。

   

14.VHDCI连接器

Nexys3上提供一个68脚VHDCI插座。支持SCSI-3总线传输协议,信号传输速率能达到几百兆。
VHDCI包括40个数据信号,8个电源信号和20个地信号。数据信号组成20个阻抗控制信号对。由于是高速的差分信号布线时需要注意尽量平行,长度相等。

FPGA所有与VHDCI信号连接的管脚都处于I/O bank0。跳线(JP8)能选择I/O bank0的供电为3.3V或者2.5V。

                     

15.软件工具

Digilent提供了一个独特强大的调试工具Adept。可以配置Xilinx逻辑器件,初始化扫描链,对FPGA,PROM进行编程,同时还具有开发板的自检校验功能和I/O扩展功能。可以在Digilent官网免费下载

Nexys3完全兼容所有的赛灵思工具,包括免费的WebPackTM,ChipscopeTM,EDKTM(嵌入式处理器设计套件),以及其他工具。


使用特权

评论回复
5
kkzz|  楼主 | 2013-1-15 23:25 | 只看该作者

测试过程

到现在已经对Nexys3的硬件配置有了初步的了解。Nexys3的使用非常方便,平台的搭建非常简单,一根USB-micro下载线能搞定一切,不用额外的稳压电源或者变压器供电,对工程师来说真是个福音,不用在办公室和实验室来回奔波了。直接在办公桌上就可以设计,下载程序。而且携带也很方便,只要有台笔记本到那里都能开始工作。
1.上电前准备
为了监测一些通用的接口,我们还是要准备一根USB-micro线用于与PC串口通信,一台VGA监视用的显示器,一个USB口的鼠标或键盘。有了这些基本可以监测Nexys3的运行了。
虽然Nexys3的配置很简单,还是要花几分钟看看手册,不要马上通电。对于做过硬件设计的人来说,印制板上电前是很紧张的,接通电源一切就不在自己控制中了。Nexys3已经是成熟的产品,当然不会出现什么硬件上的问题。不过同学上电前要注意以下几点:
Nexys3上有2个Micro USB接口,不注意很容易接错了。在J3编程口有“USB PROG”丝印字样,J13串口有“UART”丝印字样。
   
Nexys3也可以接外部电源供电。这都通过跳线JP1来控制是哪种供电。想直接USB上电的同学要注意这个跳线一定要跳接在USB。
开发板还有一个电源开关SWB,可能你会接上USB发现电源指示灯没亮,别急,也许电源开关还在OFF状态。
Nexys3出厂时在并行PCM中预置了自检程序,在BPI启动模式时,上电后自检程序会自动加载到FPGA中。因此上电后希望能直观感觉板子在工作,那就要注意跳线J8的设置,要选在BPI启动模式,即跳线帽都不接上。

2.上电自检
准备工作都就绪后,USB连线都接上了。在电脑中打开超级终端用作串口监视,串口的配置为:波特率9600,8位数据位,1位停止位,无奇偶校验。

使用特权

评论回复
6
kkzz|  楼主 | 2013-1-15 23:25 | 只看该作者
将电源开关(SWB)打在ON的位置上,如无意外,Nexys3就开始工作了。
电源指示灯(LD8)为红色表示供电正常,指示灯(LD9)为黄色表示程序已经加载正常,FPGA开始工作七段数码显示管循环显示数字0-9,其下方按钮会控制显示管的显示。8个拨动开关可以控制相对应的LED指示灯。
监视器会显示256色的demo图像。
串口监视程序会显示一些demo信息表示通信正常。
再按下复位按钮时程序会重新加载运行。

使用特权

评论回复
7
kkzz|  楼主 | 2013-1-15 23:26 | 只看该作者
3.下载程序
FPGA的最大特点就是在线可重复编程。Nexys3完全兼容Xilinx的开发工具,通过一根USB下载线就能对FPGA编程。同时Digilent提供的工具Adept能很方便的队Nexys3进行操作能下载程序到FPGA或者非易失性存储器中。
运行程序Adept,它能自动检测连接上Nexys3。Adept的界面很简洁,功能却很强大,不过现在我们最关心的是下载程序。
在Config菜单下,点击browse按钮选择软件自带的Nexys3 demo程序,一般是.bit文件,然后点击program按钮,程序开始对FPGA直接编程。完成后FPGA开始工作,记住这样加载的程序是直接下载到FPGA中的,由于不能保持,断电后必须重新下载程序。
在Memory菜单下,可以对串行和并行PCM编程。需要注意的是要选择对应的存储器,同时加载的文件格式有一定要求,数据格式必须是16位的,可以通过Xilinx的编程工具iMPACT来生成要加载的文件。
程序加载完后,选择SPI或者BPI启动模式(跳线J8),重新上电或者复位后程序就从PCM存储器中的下载到FPGA中运行。

使用特权

评论回复
8
kkzz|  楼主 | 2013-1-15 23:26 | 只看该作者
同时Xilinx的编程工具iMPACT可以通过USB-Micro线直接下载程序到FPGA中。
Adept功能还是蛮强大的,在以后的开发中也许还会用到。
演示程序
设计工具对于选择FPGA进行设计是非常重要的一个部分,Xilinx公司提供了强大的集成设计工具ISE Design Suite。Spartan-6系列FPGA芯片也是适用于Xilinx所倡导的目标设计平台,丰富的IP资源是设计变得更加灵活、方便。Digilent也提供了丰富的Demo程序和参考设计,可以在其官网免费下载。
本文的演示程序分为两种版本,分别基于ISE和EDK。可以了解如何在ISE环境下进行FPGA的设计以及如何用EDK搭建系统平台。该演示程序主要是如何控制Nexys3上的GPIO接口,如怎么控制开发板上的按钮,开关,7段数码显示管,以及USB-UART(串口)连接。
1.ISE下演示程序
一般来说如果我们的设计更多的是逻辑设计时,只需要在ISE下建立工程。
打开xilinx ISE project navigator。
选择File->Open project,打开下载的demo程序。在design窗口的上半部是项目的文件树。右边窗口是一些信息文件。双击项目中的设计文件内容也显示在右边。
选择demo项目的top文件,在design窗口下半部双击Synthesize-XST,开始综合。完成后双击Implement Design,开始布线。完成后双击Generate Programming file。
选择Tools->iMpact,弹出窗口选择JTAG boundary-scan模式,然后选择配置FPGA的.bit文件。右键点击窗口中的FPGA图形,选择program,开始对FPGA进行编程。
Demo程序工作后,7段数码显示管循环显示数字,拨动开关能控制相应LED灯,按钮能控制相应数码管,超级终端能监视串口的信息。
2.EDK下演示程序

使用特权

评论回复
9
kkzz|  楼主 | 2013-1-15 23:26 | 只看该作者
EDK工具更加适合软硬件的综合设计,能很方便的搭建嵌入式系统平台。
首先对于Nexys3来说进行嵌入式的开发,要配置BSB的支持文件,该文件可以在Digilent官网免费下载。
运行Xilinx Platform Studio。
打开下载的demo程序。EDK项目都是由开发向导自动生成IP core,在IP catalog窗口可以看到所有提供的IP资源。
在工程选项里设置好BSB支持文件的路径。
因为是demo程序,我们可以直接生成硬件比特流文件。选择Hardware->Generate Bitstream。
打开Xilinx Software Development Kit,建立一个新的workspace。
选择File->New->Xilinx Hardware Platform Specification,命名一个新的project,在Target Hardware Specification下选择路径”\project\SDK\SDK_Export\hw”,建立project。
选择File->New->Xilinx C Project,将demo程序下\source目录下文件导入到新建的项目中。编译生成.elf的可执行代码

使用特权

评论回复
10
kkzz|  楼主 | 2013-1-15 23:27 | 只看该作者
选择Xilinx Tools->program FPGA,确保“Elf file to initialize in block ram”下选择了“bootloop”。
在project explorer窗口,右键点击.elf文件,选择run as->launch on hardware。Demo程序开始工作。
结语
上面对Nexys3的软硬件系统以及如何使用进行了详细的描述。可以看出Nexys3 是一款非常易于上手的FPGA开发工具,对于想学习Xilinx FPGA技术的初学者来说是非常适合的平台。丰富的接口和I/O资源使得学习者只需要专注于自己的逻辑设计,同时Nexys3能非常方便的搭建嵌入式系统平台。
Digilent公司提供了丰富的Pmod模块和VHDC模块,这样有经验的设计者也能搭建各种嵌入式的应用平台。Spartan-6系列FPGA的高性价比和低功耗,使得它在消费类的应用非常广泛,Nexys3 能极大加快嵌入式应用的开发。
Digilent对于进行学术研究的客户有非常优惠的价格,只要支付1180元就可以获得一套Nexys3开发平台;对于其他客户,NEXYS3的价格则是1980元/套。

使用特权

评论回复
11
reconfig_alic| | 2013-1-16 16:48 | 只看该作者
800块卖不卖?
xilinx开发板就是贼贵贼贵,动不动就几千几千的,让初学者望而却步!

使用特权

评论回复
12
zhpg009| | 2013-1-16 17:39 | 只看该作者
楼主貌似有板子要出啊!

使用特权

评论回复
13
hawksabre| | 2013-1-16 18:23 | 只看该作者
谢谢了   希望楼主这款产品能够成功   谢谢了   哦呵呵   恨不错   谢谢了   哦呵呵

使用特权

评论回复
14
chinaupu| | 2013-1-16 21:20 | 只看该作者
多少钱?

使用特权

评论回复
15
gaochy1126| | 2013-1-16 23:26 | 只看该作者
好东西呀

使用特权

评论回复
16
GG_GG| | 2013-1-17 23:21 | 只看该作者
资料不错  谢谢分享啦

使用特权

评论回复
17
holliwood| | 2013-1-19 00:40 | 只看该作者
穷学生买不起啊

使用特权

评论回复
18
GoldSunMonkey| | 2013-1-19 22:58 | 只看该作者
感谢分享啊

使用特权

评论回复
19
gaochy1126| | 2013-1-21 19:05 | 只看该作者
得好好研究一下了

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

315

主题

10670

帖子

13

粉丝