打印

求助:关于cpld编程问题,谢谢高手得指点!

[复制链接]
1822|4
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
zhx0919|  楼主 | 2007-2-24 23:27 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
我刚刚接触vhdl语言,有一问题请教各位高手,各位老师,我想编一模块,要多个输入控制一个输出,比如cs1选中输出1,cs2选中输出2等等,我不知道应该怎么编写简单,我是用if  elsif,else等实现得,不知道有没有更简单得方法,我这样编得坏处是如果输入特别多得话,程序显得很乱;
请高手指点,谢谢了!

相关帖子

沙发
wb20022046| | 2007-2-28 15:59 | 只看该作者

你说的是一个串行输出的编码器?

使用特权

评论回复
板凳
zhx0919|  楼主 | 2007-2-28 19:11 | 只看该作者

我查了些资料,大致都是这样编得

使用特权

评论回复
地板
huzhang| | 2007-3-14 15:38 | 只看该作者

回复

就是一多路选择器.开关切换,也可以用地址来区分.
你说的方法也可以的.

使用特权

评论回复
5
小李志| | 2007-3-15 21:38 | 只看该作者

都一样,反正只是一种描述语言

都一样,反正只是一种描述语言

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

21

主题

75

帖子

1

粉丝