打印
[VHDL]

VHDL的process语句

[复制链接]
868|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
1037886749|  楼主 | 2015-6-5 15:33 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
本帖最后由 1037886749 于 2015-6-5 15:39 编辑

process(XXX) is .........里面的敏感信号是怎么触发的.?如下:
architecture art of cnt10 is
signal cqi:std_logic_vector(3 downto 0);
begin
process (clr,key) is
begin
if clr='0'
then cqi<="0000" ;    --清零
elsif rising_edge(clk)
then
elsif  (key='0')
then
if cqi>="1001"
then
cqi<="0000";
else  cqi<=cqi+'1';
end if;
end if;
end if;
end if;
end process;
本意是想让按键key控制+1的,如果敏感信号只是变化的时候触发process的话,应该是:按下按键不放,只触发一次process,然后松开按键也触发一次。但是现在是按下不放,就会一直触发process...为什么??注意:CLK不是敏感信号....

相关帖子

沙发
ococ| | 2015-6-8 08:54 | 只看该作者
代码风格有问题。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

3

主题

16

帖子

0

粉丝