Image
Image

凯旋晴天

+ 关注

粉丝 1     |     主题 0     |     回帖 61

我怀念的,我的清水河畔
【请教大神】16位DA输出纹波噪声问题,救命!
2014-2-24 22:00
  • 电源技术
  • 40
  • 9028
  DA做一下隔离吧,单片机和DA之间隔离一下I2C  
求MOSFET IRF540驱动芯片型号
2013-7-19 16:27
  • 电源技术
  • 15
  • 3987
  看你怎么用了,这东西跟工作频率有关的,频率很低的话自己三极管搭一下就好了,否则用驱动芯片 ...  
线的长短引起开关信号干扰?
2011-12-27 11:39
  • 电子技术交流论坛
  • 9
  • 1751
  这样做很不好,线长的情况下信号被干扰的机会很大 建议你还是能够在源端进行信号采集,485传输到MCU 如果一 ...  
请大家帮忙分析一下这个MOS管电路
2011-12-23 09:25
  • 电子技术交流论坛
  • 5
  • 1193
  电平转换?  
单位增益带宽GBW与压摆率有什么内在联系啊?
2011-12-5 20:46
  • 模拟技术论坛
  • 7
  • 1974
  这是一颗负反馈的系统补偿电容 补偿系统极点  
数据总线驱动不足?or PCB layout问题?
2011-12-2 12:54
  • 电子技术交流论坛
  • 7
  • 2058
  7# ynln 恩,布线的时候信号完整性就要考虑到,不然现在想要整改比较难啊 ...  
  应该是遇到信号完整性的问题了 这里给你几点建议: 1.首先去量测一下信号,看看信号的品质 2.线长是不是过 ...  
计算PN结电容的截止频率?
2011-12-2 10:14
  • 电子技术交流论坛
  • 12
  • 4016
  12# 凯旋晴天 另外,你其实也可以通过时间常数来估算 要让时间常数能满足信号的上升沿要求 不管是通过阻 ...  
  10# michael_li 我觉得是可以的,因为PCB对阻抗的控制本来就是通过分布电容和电感 如果从阻抗的角度来看 ...  
  USB的TVS管一般只有3~4p 33的太大了,估计用不了  
EMC中的变压器屏蔽
2011-12-28 21:59
  • EMC&可靠性设计
  • 4
  • 1799
  接地有没有接好呢?  
放大器 信号电压问题
2011-11-30 10:03
  • 电子技术交流论坛
  • 2
  • 1141
  是你把直流加进去理解了 只考虑交流信号的幅度啊,他的确是放大的  
关于双电源自动切换问题
2011-6-22 11:14
  • 模拟技术论坛
  • 25
  • 4629
  你用24V做M2的gate,24V断掉的话,Vbat不能向Vout供电,线路有问题  
9013做过零检测
2011-4-15 09:44
  • 电源技术
  • 45
  • 7536
  注意看你橙色的波形,波形并不是一样的,看看有脉冲和没有脉冲的低电平准位 这就是问题所在了 ...  
PWM栅极电阻
2011-4-13 17:01
  • 电源技术
  • 3
  • 1451
  哦~~~,谢谢maychang老师指点! 还有一事不明,为什么在Ugate会加这样一个电阻,而在Lgate往往会直接用0欧 ...  
  在PWM线路中常见会在上MOS的栅极和Ugate之间增加一个小电阻(1欧左右),不知道是何作用 ...  
求助:表贴器件离板边间距不够,如何解决?
2011-3-29 08:53
  • PCB技术
  • 12
  • 5180
请教个电路
2011-1-4 15:53
  • 模拟技术论坛
  • 11
  • 3219
变压器输出端电阻作用。
2011-12-7 07:30
  • 电子技术交流论坛
  • 4
  • 1998
2
3
近期访客