<br />刚刚开始接触VHDL,现在也设计一个可逆的计数器,输入是三个按键,一个复位清零,一个增加,一个减少,输出为4位的二进制数<br />这时我编的代码:<br /><br />Library IEEE;<br />Use IEEE.std_logic_1164.All;<br />Use IEEE.std_logic_unsigned.All;<br /><br />Entity test is<br /> port(up,down: in std_logic;<br /> reset: in std_logic;<br /> Q: buffer std_logic_vector(3 downto 0));<br />end Entity test;<br /><br />Architecture art of test is<br /> begin<br /> process(up,reset)is<br /> begin<br /> if reset='0' then<br /> Q<="0000";<br /> elsif(up'event and up='1') then<br /> if Q=9 then Q<="0000";<br /> else Q<=Q+1;<br /> end if;<br /> end if;<br /> end process;<br /> process(down,reset)is<br /> begin<br /> if reset='0' then<br /> Q<="0000";<br /> elsif(down'event and down='1') then<br /> if Q=0 then Q<="1001";<br /> else Q<=Q-1;<br /> end if;<br /> end if;<br /> end process; <br />end Architecture art;<br /><br />编译的时候提示错误如图<br />请教高手如何解决?不胜感激!<br />VHDL语言感觉好别扭啊! |
|