一个是希望让其输出为初始值“1”,一个是希望计数器cnt初始不为0从而实现移相,可是在定义的后面加:=赋值模拟出来的波形居然是一样的,郁闷死我了。请大虾指教一下问题出在什么地方呀?谢谢了!
这么简单的东西搞了一个下午搞不定,真是惭愧呀=.=
下面是代码: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;
entity div5_5 is port(clk: in std_logic; div10: out std_logic); end;
architecture one of div5_5 is signal cnt :std_logic_vector(2 downto 0); signal clk_temp :std_logic; signal d :std_logic; constant m :integer:=4;
begin process(clk) begin if clk'event and clk='1' then if cnt=m then clk_temp<=not clk_temp; cnt<="000"; else cnt<=cnt+1; end if; end if; end process;
div10<=clk_temp; end;
|