打印

请高人帮帮分析这段小程序

[复制链接]
2182|4
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
lovedfrien|  楼主 | 2010-4-23 21:36 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity Decoder is
  port (
        Coder_In:in std_logic_vector(4 downto 0);
     Code_out:out std_logic_vector(31 downto 0)
     );
end;
architecture behavioral of Decoder is
begin
process (Coder_In)
begin
     case Coder_In is
     WHEN "00000" =>Code_out<="11111111111111111111111111111110";
  WHEN "00001" =>Code_out<="11111111111111111111111111111101";
  WHEN "00010" =>Code_out<="11111111111111111111111111111011";
  WHEN "00011" =>Code_out<="11111111111111111111111111110111";
  WHEN "00100" =>Code_out<="11111111111111111111111111101111";
  WHEN "00101" =>Code_out<="11111111111111111111111111011111";
  WHEN "00110" =>Code_out<="11111111111111111111111110111111";
  WHEN "00111" =>Code_out<="11111111111111111111111101111111";
  WHEN "01000" =>Code_out<="11111111111111111111111011111111";
  WHEN "01001" =>Code_out<="11111111111111111111110111111111";
  WHEN "01010" =>Code_out<="11111111111111111111101111111111";
  WHEN "01011" =>Code_out<="11111111111111111111011111111111";
  WHEN "01100" =>Code_out<="11111111111111111110111111111111";
  WHEN "01101" =>Code_out<="11111111111111111101111111111111";
  WHEN "01110" =>Code_out<="11111111111111111011111111111111";
  WHEN "01111" =>Code_out<="11111111111111110111111111111111";
  WHEN "10000" =>Code_out<="11111111111111101111111111111111";
  WHEN "10001" =>Code_out<="11111111111111011111111111111111";
  WHEN "10010" =>Code_out<="11111111111110111111111111111111";
  WHEN "10011" =>Code_out<="11111111111101111111111111111111";
  WHEN "10100" =>Code_out<="11111111111011111111111111111111";
  WHEN "10101" =>Code_out<="11111111110111111111111111111111";
  WHEN "10110" =>Code_out<="11111111101111111111111111111111";
  WHEN "10111" =>Code_out<="11111111011111111111111111111111";
  WHEN "11000" =>Code_out<="11111110111111111111111111111111";
  WHEN "11001" =>Code_out<="11111101111111111111111111111111";
  WHEN "11010" =>Code_out<="11111011111111111111111111111111";
  WHEN "11011" =>Code_out<="11110111111111111111111111111111";
  WHEN "11100" =>Code_out<="11101111111111111111111111111111";
  WHEN "11101" =>Code_out<="11011111111111111111111111111111";
  WHEN "11110" =>Code_out<="10111111111111111111111111111111";
  WHEN "11111" =>Code_out<="01111111111111111111111111111111";
     WHEN others  =>Code_out<="11111111111111111111111111111111";
end case;
end process;
end;  

编译后结果是
所有输出端口 stuck at GND ,如
。。。
Warning: Pin "Rev_Sel_22" stuck at GND
。。。

输入无效,如:
。。。
Warning: No output dependent on input pin "Send_Grp_Dr[4]"

其中Rev_Sel_22和Send_Grp_Dr都是接在模块上的输出输入端口,咋回事啊,百思不得其解
。。。

相关帖子

沙发
ZHOUNACHU| | 2010-4-24 12:20 | 只看该作者
我帮你编译了一下,没有错误了:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity Decoder is
        port(        Coder_In:in  std_logic_vector(4 downto 0);
                        Code_out:out std_logic_vector(31 downto 0)
                );
end;

architecture behavioral of Decoder is
begin
        process (Coder_In)
        begin
                case Coder_In is
                        WHEN "00000" =>Code_out<="11111111111111111111111111111110";
                        WHEN "00001" =>Code_out<="11111111111111111111111111111101";
                        WHEN "00010" =>Code_out<="11111111111111111111111111111011";
                        WHEN "00011" =>Code_out<="11111111111111111111111111110111";
                        WHEN "00100" =>Code_out<="11111111111111111111111111101111";
                        WHEN "00101" =>Code_out<="11111111111111111111111111011111";
                        WHEN "00110" =>Code_out<="11111111111111111111111110111111";
                        WHEN "00111" =>Code_out<="11111111111111111111111101111111";
                        WHEN "01000" =>Code_out<="11111111111111111111111011111111";
                        WHEN "01001" =>Code_out<="11111111111111111111110111111111";
                        WHEN "01010" =>Code_out<="11111111111111111111101111111111";
                        WHEN "01011" =>Code_out<="11111111111111111111011111111111";
                        WHEN "01100" =>Code_out<="11111111111111111110111111111111";
                        WHEN "01101" =>Code_out<="11111111111111111101111111111111";
                        WHEN "01110" =>Code_out<="11111111111111111011111111111111";
                        WHEN "01111" =>Code_out<="11111111111111110111111111111111";
                        WHEN "10000" =>Code_out<="11111111111111101111111111111111";
                        WHEN "10001" =>Code_out<="11111111111111011111111111111111";
                        WHEN "10010" =>Code_out<="11111111111110111111111111111111";
                        WHEN "10011" =>Code_out<="11111111111101111111111111111111";
                        WHEN "10100" =>Code_out<="11111111111011111111111111111111";
                        WHEN "10101" =>Code_out<="11111111110111111111111111111111";
                        WHEN "10110" =>Code_out<="11111111101111111111111111111111";
                        WHEN "10111" =>Code_out<="11111111011111111111111111111111";
                        WHEN "11000" =>Code_out<="11111110111111111111111111111111";
                        WHEN "11001" =>Code_out<="11111101111111111111111111111111";
                        WHEN "11010" =>Code_out<="11111011111111111111111111111111";
                        WHEN "11011" =>Code_out<="11110111111111111111111111111111";
                        WHEN "11100" =>Code_out<="11101111111111111111111111111111";
                        WHEN "11101" =>Code_out<="11011111111111111111111111111111";
                        WHEN "11110" =>Code_out<="10111111111111111111111111111111";
                        WHEN "11111" =>Code_out<="01111111111111111111111111111111";
                        WHEN others  =>Code_out<="11111111111111111111111111111111";
                end case;
        end process;
end;

使用特权

评论回复
板凳
ZHOUNACHU| | 2010-4-24 12:22 | 只看该作者
但是要把QQ表情那里修改一下,他遮住了几个字母,完整的是:
Code_out:out std_logic_vector(31 downto 0)

使用特权

评论回复
地板
ZHOUNACHU| | 2010-4-24 12:24 | 只看该作者
:L怎么这个QQ表情老是在那个位置啊,,

使用特权

评论回复
5
sinetech| | 2010-4-24 22:49 | 只看该作者
二楼的代码风格很好:) 值得提倡

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

34

主题

90

帖子

1

粉丝