打印

关于xilinx FPGA的时序仿真问题

[复制链接]
422|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
这是主程序module test1(

   input wire clk,
        input wire rst,
        input wire [7:0] in,
        //output reg [3:0] cnt,
        output reg [7:0] out
    );
always @ (posedge clk or negedge rst)
begin
    if(!rst)
    begin
         out <= 0;
         
         end
         else
         begin
              out <= in;
      end
            
end

这是仿真tb

      // Generate the stimulate
      initial begin
                        clk = 1'b0;
               rst = 1'b0;
               in = 8'h00;
          #40; rst = 1'b1;
          #20; in = 8'b00001111;
              #20; in = 8'h55;
              #20; in = 8'h23;
               #20; in = 8'h96;   
         #1000; $finish();
       end
always
begin
       #10 clk =~clk;
end

下面是仿真后的道德波形?为什么没有赋值过去呢?

QQ图片20170411092010.png (22.84 KB )

QQ图片20170411092010.png

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

3

主题

9

帖子

0

粉丝