打印

求助大版

[复制链接]
2800|4
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
lelee007|  楼主 | 2010-12-17 23:45 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
ISE 11.4, DDR3  IP里边生成的源码里边有几个module,vio   icon   ila是做黑盒处理的,但是translate的时候报错

module的代码如下:
//------------------------------------------------------------------------------
//  ICON core module declaration
//------------------------------------------------------------------------------
module icon
  (
   inout [35:0] CONTROL0,
   inout [35:0] CONTROL1
   )/* synthesis syn_black_box syn_noprune=1 */;
endmodule
//------------------------------------------------------------------------------
//  ILA core module declaration
//------------------------------------------------------------------------------
module ila
  (
   input CLK,
   inout [35:0] CONTROL,
   input [1:0]  TRIG0,
   input [255:0] DATA
   )/* synthesis syn_black_box syn_noprune=1 */;
endmodule
//------------------------------------------------------------------------------
//  VIO core module declaration
//------------------------------------------------------------------------------
module vio
  (
   inout [35:0] CONTROL,
   output [6:0] ASYNC_OUT
   )/* synthesis syn_black_box syn_noprune=1 */;
endmodule
-----------------------------------
这是core generator生成的文件里边的代码

translate的时候报错如下:

Checking expanded design ...
ERROR:NgdBuild:604 - logical block 'ddr3_inst007/my_vio_c3' with type 'vio'
   could not be resolved. A pin name misspelling can cause this, a missing edif
   or ngc file, or the misspelling of a type name. Symbol 'vio' is not supported
   in target 'spartan6'.
ERROR:NgdBuild:604 - logical block 'ddr3_inst007/my_icon_c3' with type 'icon'
   could not be resolved. A pin name misspelling can cause this, a missing edif
   or ngc file, or the misspelling of a type name. Symbol 'icon' is not
   supported in target 'spartan6'.
ERROR:NgdBuild:604 - logical block 'ddr3_inst007/my_ila_c3' with type 'ila'
   could not be resolved. A pin name misspelling can cause this, a missing edif
   or ngc file, or the misspelling of a type name. Symbol 'ila' is not supported
   in target 'spartan6'.

相关帖子

沙发
lelee007|  楼主 | 2010-12-17 23:46 | 只看该作者
以前没用过DDR3,第一次

这个黑盒处理也被整的有点晕乎,不知道在ISE里边implement的时候是不是还需要其他设置或者约束什么的?

还请大版指点,多谢先

使用特权

评论回复
板凳
lelee007|  楼主 | 2010-12-18 13:55 | 只看该作者
我去~

搞定

使用特权

评论回复
地板
SuperX-man| | 2010-12-18 20:36 | 只看该作者
呵呵,这三个都是chiscope的,删掉也可以.

周六也在工作啊,而且这么快搞定了阿.

给大家分享下哈

使用特权

评论回复
5
lelee007|  楼主 | 2010-12-18 20:54 | 只看该作者
就是customize的时候把chipscope的那个选项disable掉就OK啦

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

170

主题

8562

帖子

3

粉丝