打印

如何例化元件,是在不会,希望大家帮忙下

[复制链接]
1487|6
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
wangjun403|  楼主 | 2011-3-21 22:12 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
我只会简单的例化,比如说顶层和底层的引脚都一样的那种
下面的图我就不会了

我自己的例化程序,编译不成功,希望大家可以帮忙下
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity clock is
port(
clk,reset :in std_logic;
time_out :out std_logic
);
end entity;

architecture rtl of clock is

component time_ms is
port(
clk ,reset :in std_logic;
ms_out :out std_logic
);
end component;

component time_sec is
port(
clk ,reset :in std_logic;
ms_in :in std_logic;
sec_out :out std_logic
);
end component;

component time_min is
port(
clk ,reset :in std_logic;
sec_in :in std_logic;
min_out :out std_logic
);
end component;

component time_hour is
port(
clk ,reset :in std_logic;
min_in :in std_logic;
hour_out :out std_logic
);
end component;

begin
u1:time_ms port map(clk=>clk,reset=>reset);
u2:time_sec port map(clk=>clk,reset=>reset,ms_in=>ms_out);
u3:time_min port map(clk=>clk,reset=>reset,sec_in=>sec_out);
u4:time_hour port map(clk=>clk,reset=>reset,hour_in=>min_hour,hour_out=>time_out);
end architecture;

相关帖子

沙发
wangjun403|  楼主 | 2011-3-21 23:26 | 只看该作者
自己顶下

使用特权

评论回复
板凳
SuperX-man| | 2011-3-22 14:06 | 只看该作者
你说的编译不成功.那报错信息是什么,能贴出来看下不?
因为你还包含了4个元件.其中任何一个有错误,都会导致编译不成功.
可能不光是你顶层的问题.
另外,你clk和rst共接那么多的子元件.建议你加个BUFG,别直接相连

使用特权

评论回复
地板
wangjun403|  楼主 | 2011-3-22 14:44 | 只看该作者
单个编译都是成功的
不然也不可以生成原理图上需要的符号

使用特权

评论回复
5
wangjun403|  楼主 | 2011-3-22 20:10 | 只看该作者
帮帮我啊,我实在不会了

使用特权

评论回复
6
SuperX-man| | 2011-3-23 11:38 | 只看该作者
楼主用的应该是QUARTUS吧....你编译错误的话,能否把报错信息贴出来哈.

今天仔细看了你的代码...发现是你没写对哈.

你component中定义的引脚..和你port map中的 有些对应不起来阿.
在component中定义了的.必须在port map中一一对应,不接的也必须声明是open还是gnd;

使用特权

评论回复
7
SuperX-man| | 2011-3-23 11:39 | 只看该作者
举个例子来说,你time_hour中 只有 rst,clk,min_in,hour_out.....但是在你下面map的时候.怎么就多了一个hour_in阿

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

0

主题

629

帖子

1

粉丝