打印
[matlab]

求助啊,ise编译错误,6.1中有,7.1和10.1没问题

[复制链接]
9181|22
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
mxjtracy|  楼主 | 2011-10-29 16:01 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
本帖最后由 mxjtracy 于 2011-11-1 08:29 编辑

编写了一个aes加密程序在ise10.1和7.1中编译都没问题,但在6.1中出现了问题,求助啊。导师要求必须在6.1中实现,求助各位大侠了。附件是我的代码,round模块调用另外三个子模块。   大家好 我用的是XC2S300E
ERROR:Xst:1844 - Port <mixcolumn_out<0>> of node <Mxor_d_out_Result<0>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<1>> of node <Mxor_d_out_Result<1>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<2>> of node <Mxor_d_out_Result<2>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<3>> of node <Mxor_d_out_Result<3>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<4>> of node <Mxor_d_out_Result<4>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<5>> of node <Mxor_d_out_Result<5>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<6>> of node <Mxor_d_out_Result<6>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<7>> of node <Mxor_d_out_Result<7>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<8>> of node <Mxor_d_out_Result<8>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<9>> of node <Mxor_d_out_Result<9>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<10>> of node <Mxor_d_out_Result<10>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<11>> of node <Mxor_d_out_Result<11>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<12>> of node <Mxor_d_out_Result<12>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<13>> of node <Mxor_d_out_Result<13>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<14>> of node <Mxor_d_out_Result<14>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<15>> of node <Mxor_d_out_Result<15>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<16>> of node <Mxor_d_out_Result<16>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<17>> of node <Mxor_d_out_Result<17>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<18>> of node <Mxor_d_out_Result<18>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<19>> of node <Mxor_d_out_Result<19>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<20>> of node <Mxor_d_out_Result<20>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<21>> of node <Mxor_d_out_Result<21>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<22>> of node <Mxor_d_out_Result<22>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<23>> of node <Mxor_d_out_Result<23>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<24>> of node <Mxor_d_out_Result<24>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<25>> of node <Mxor_d_out_Result<25>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<26>> of node <Mxor_d_out_Result<26>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<27>> of node <Mxor_d_out_Result<27>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<28>> of node <Mxor_d_out_Result<28>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<29>> of node <Mxor_d_out_Result<29>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<30>> of node <Mxor_d_out_Result<30>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<31>> of node <Mxor_d_out_Result<31>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<32>> of node <Mxor_d_out_Result<32>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<33>> of node <Mxor_d_out_Result<33>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<34>> of node <Mxor_d_out_Result<34>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<35>> of node <Mxor_d_out_Result<35>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<36>> of node <Mxor_d_out_Result<36>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<37>> of node <Mxor_d_out_Result<37>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<38>> of node <Mxor_d_out_Result<38>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<39>> of node <Mxor_d_out_Result<39>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<40>> of node <Mxor_d_out_Result<40>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<41>> of node <Mxor_d_out_Result<41>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<42>> of node <Mxor_d_out_Result<42>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<43>> of node <Mxor_d_out_Result<43>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<44>> of node <Mxor_d_out_Result<44>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<45>> of node <Mxor_d_out_Result<45>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<46>> of node <Mxor_d_out_Result<46>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<47>> of node <Mxor_d_out_Result<47>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<48>> of node <Mxor_d_out_Result<48>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<49>> of node <Mxor_d_out_Result<49>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<50>> of node <Mxor_d_out_Result<50>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<51>> of node <Mxor_d_out_Result<51>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<52>> of node <Mxor_d_out_Result<52>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<53>> of node <Mxor_d_out_Result<53>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<54>> of node <Mxor_d_out_Result<54>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<55>> of node <Mxor_d_out_Result<55>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<56>> of node <Mxor_d_out_Result<56>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<57>> of node <Mxor_d_out_Result<57>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<58>> of node <Mxor_d_out_Result<58>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<59>> of node <Mxor_d_out_Result<59>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<60>> of node <Mxor_d_out_Result<60>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<61>> of node <Mxor_d_out_Result<61>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<62>> of node <Mxor_d_out_Result<62>> from unit <round> has no source
ERROR:Xst:1844 - Port <mixcolumn_out<63>> of node <Mxor_d_out_Result<63>> from unit <round> has no source

aes.rar

6.73 KB

相关帖子

沙发
AutoESL| | 2011-10-30 15:34 | 只看该作者
ISE太老了

使用特权

评论回复
板凳
AutoESL| | 2011-10-30 15:34 | 只看该作者
建议用12.4及其以后的版本

使用特权

评论回复
地板
墨攻1991| | 2011-10-30 18:20 | 只看该作者
你们老师使用6.1是不是因为你们用的芯片比较古老呀?建议使用高版本的,我现在用的是12.4

使用特权

评论回复
5
GoldSunMonkey| | 2011-10-30 21:59 | 只看该作者
ISE太老了
AutoESL 发表于 2011-10-30 15:34

太老了,可以选择更换了。

使用特权

评论回复
6
GoldSunMonkey| | 2011-10-30 22:02 | 只看该作者
建议用12.4及其以后的版本
AutoESL 发表于 2011-10-30 15:34

可能是器件不支持啊。

使用特权

评论回复
评分
参与人数 1威望 +1 收起 理由
mxjtracy + 1
7
GoldSunMonkey| | 2011-10-30 22:04 | 只看该作者
你们老师使用6.1是不是因为你们用的芯片比较古老呀?建议使用高版本的,我现在用的是12.4
墨攻1991 发表于 2011-10-30 18:20

没有代码很难知道怎么回事。

使用特权

评论回复
8
GoldSunMonkey| | 2011-10-30 22:31 | 只看该作者
[quote]你们老师使用6.1是不是因为你们用的芯片比较古老呀?建议使用高版本的,我现在用的是12.4
墨攻1991 发表于 2011-10-30 18:20 [url=https://bbs.21ic.com/redirect.php?goto=findpost&pid

使用特权

评论回复
9
mxjtracy|  楼主 | 2011-10-31 15:48 | 只看该作者
8# GoldSunMonkey 你好,这是我的代码程序,在10.1 7.1中都没问题。round模块调用另外三个子模块

aes.rar

6.73 KB

使用特权

评论回复
10
mxjtracy|  楼主 | 2011-10-31 15:55 | 只看该作者
2# AutoESL 您好麻烦您看看我的代码吧

使用特权

评论回复
11
mxjtracy|  楼主 | 2011-10-31 15:55 | 只看该作者
4# 墨攻1991 您好麻烦您看看我的代码吧

使用特权

评论回复
12
GoldSunMonkey| | 2011-10-31 16:56 | 只看该作者
8# GoldSunMonkey  你好,这是我的代码程序,在10.1 7.1中都没问题。round模块调用另外三个子模块
mxjtracy 发表于 2011-10-31 15:48

没有6.1的ISE,没法看

使用特权

评论回复
13
墨攻1991| | 2011-10-31 18:27 | 只看该作者
11# mxjtracy
你们用的是哪款芯片呀!

使用特权

评论回复
14
Oxygen.Chu| | 2011-10-31 20:24 | 只看该作者
也不要看代码了,也不用换版本了,直接把你们老师换了,才是硬道理~

使用特权

评论回复
15
墨攻1991| | 2011-10-31 20:58 | 只看该作者
1# mxjtracy
12.4编译没有问题

使用特权

评论回复
16
ooljo| | 2011-11-1 07:25 | 只看该作者
过来了解下

使用特权

评论回复
17
ooljo| | 2011-11-1 07:25 | 只看该作者
氛围真好呀

使用特权

评论回复
18
mxjtracy|  楼主 | 2011-11-1 08:30 | 只看该作者
XC2S300E 13# 墨攻1991

使用特权

评论回复
19
AutoESL| | 2011-11-1 10:16 | 只看该作者
我真没有6.1的版本

使用特权

评论回复
20
AutoESL| | 2011-11-1 10:16 | 只看该作者
我最早接触就是7.1的版本了

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

0

主题

14

帖子

0

粉丝