打印
[FPGA]

FPGA 基础知识笔记1

[复制链接]
268|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
dameihuaxia|  楼主 | 2020-5-18 14:18 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
andy520520| | 2020-5-21 16:03 | 只看该作者
本帖最后由 andy520520 于 2020-5-21 16:29 编辑

那位朋友看下,要怎么才能让LED在上电不亮,现在的情况是上电全亮,
然后才一个一个的输出

module led_water(led,clk);
        output[3:0]led;                                
        input clk;
        reg[3:0] led;
        reg[25:0] counter;
   reg[3:0] state;
always@(posedge clk)
        begin
                counter<=counter+1;
                if(counter==26'd50000000)                     
                 begin
                /*
                        led<=led<<1;
                        counter<=0;
                        if(led==8'b0000)
                        led<=8'b1111;
                        */
                          counter<=0;
                        case (state)
                                
                                
                                                4'b0000:    begin
                                                                                        led <= 4'b1110;
                                                                                        state <= 4'b0001;
                                                                                end
                                                4'b00001:        begin
                                                                                        led <= 4'b1101;
                                                                                        state <= 4'b0010;
                                                                                end
                                                4'b0010:                begin
                                                                                        led <= 4'b1011;
                                                                                        state <= 4'b0011;        
                                                                      end               
                                                4'b0011:                begin
                                                                                        led <= 4'b0111;
                                                                                        state <= 4'b0000;        
                                                                           end
                                                default:                state <= 4'b0000;
                                
                        endcase                                       
                        
                 end
        
        end
endmodule


使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

298

主题

298

帖子

1

粉丝