打印
[FPGA]

IP CORE 之 PLL- ISE 操作工具

[复制链接]
585|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
#申请原创# #每日话题# #有奖活动# #技术资源# #申请开发板#


本系列将带来FPGA的系统性学习,从最基本的数字电路基础开始,最详细操作步骤,最直白的言语描述,手把手的“傻瓜式”讲解,让电子、信息、通信类专业学生、初入职场小白及打算进阶提升的职业开发者都可以有系统性学习的机会。


系统性的掌握技术开发以及相关要求,对个人就业以及职业发展都有着潜在的帮助,希望对大家有所帮助。后续会陆续更新 Xilinx 的 Vivado、ISE 及相关操作软件的开发的相关内容,学习FPGA设计方法及设计思想的同时,实操结合各类操作软件,会让你在技术学习道路上无比的顺畅,告别技术学习小BUG卡破脑壳,告别目前忽悠性的培训诱导,真正的去学习去实战应用,这种快乐试试你就会懂的。话不多说,上货。



IP CORE 之 PLL- ISE 操作工具



本篇实现基于叁芯智能科技的SANXIN -B02 FPGA开发板,如有入手开发板,可以登录官方淘宝店购买,还有配套的学习视频。


Xilinx 锁相环 IP 核是由锁相环(PLL)电路实现。锁相环是一种反馈控制系统,它可以自动调整本地产生的信号的相位,以匹配输入信号的相位。锁相环通过振荡器产生的波形的相位匹配输入信号的相位来工作。输入信号的微小变化首先表现为输入信号和振荡器产生波形的相位偏差。这个相位偏差作为一个调整信号,改变本地锁相环震荡频率来匹配输入信号。输入信号和本地振荡器之间的锁相关系称为锁相环路。


锁相环经常用于高速通信、计算机和其他的电子应用中。可以使用PLL产生稳定频率的时钟,从高噪声的通信信道中恢复信号或者在设计中产生各种时钟信号。




锁相环包括前置分频模块(N counter),鉴频鉴相器(PFD),电荷泵(Charge pump),环路滤波器(Loop filter),压控振荡器(VCO),反馈分频模块(M counter),后期分频模块(K和V计数器)。


PFD检查出Fref和Feedback两个信号之间的相位和频率差距,然后控制电荷泵和环路滤波器产生一个调整电压,此电压控制VCO。根据此电压,VCO会产生一个更高或者更低的时钟。通过不断的反馈,Fref和Feedback拥有相同的频率和相位后,锁相环就锁定了。


插入的前置分频模块和反馈分频模块的作用是将信号进行分频处理。即:Fref=Fin/N,Feedback=Fvco/M。PLL锁定后,Fref=Feedback,即Fin/N=Fvco/M。Fvco=(Fin*M)/N,在经过后期分频分模块,Fout=Fvco/K=(Fin*M)/(N*K)。


  • 硬件介绍

开发板上的FPGA芯片为XC6SLX9-2TQG144,此芯片内部含有两个通用的PLL。





  • 设计要求

利用开发板外部扩展针,输出频率为100MHz和频率为10Mhz的方波,并利用示波器进行测量。


  • 设计分析

开发板的晶振为50MHz,FPGA内部嵌入有PLL模块。使用PLL模块可以方便快速的产生100MHz和10MHz的方波。


PLL模块有一个高电平有效的复位,开发板外部输入按键为低电平有效,故而需要将外部复位信号进行反相。


PLL模块可以输出5个时钟,我们利用两个抽头即可。


PLL模块会输出锁定标志信号,设计时直接将此信号输出到外部扩展针即可。


  • 架构设计和信号说明


此模块命名为pll_test。




本设计共有两个模块构成:一个反相器和一个PLL模块(my_pll)。






  • pll_my设计实现

本模块为FPGA内部ip 模块,不需要设计,只是需要利用参数化界面配置一些信息,然后调用出来即可。


首先我们选中顶层,右键选择New Source...



我们需要新建IP核,所以选中第一个IP(CORE Generator &Architecture Wizard),文件名为my_pll。




点击Next。




在众多IP库中,我们可以快速搜索我们需要的IP核,这样节省时间。那么我们的锁相环名字为clocking Wizard,我们直接搜索即可。




选中之后点击Next。




点击Finish。




在输入频率设置的地方,把100MHz改为我们板子的输入时钟50MHz。然后点击Next。



点击next,启动PLL的输出配置界面。




在界面中,选择 output  frequency(键入输出时钟频率),在requested settings(要求设置)中输入100MHz,Actual settings(实际设置)中不用输入,软件会自动计算键入的时钟频率是否能够实现,对于不能实现的频率,软件会输出一个比较接近的频率。


phase 为时钟相移,通过改变参数,可以达到输出时钟相移的功能。保持默认:0。


duty cycle为时钟占空比,通过改变参数,可以达到输出时钟占空比不同的功能。保持默认:50。



点击Next。




选择输入输出端口,这里RESET为复位端口,高电平有效。


LOCKED为输出有效端口,此端口可以看到PLL输出稳定的时间段。



此界面配置输入输出抽头,在此保持默认。点击Next。





此界面保持默认,点击Next。




此界面展示了整个PLL配置信息,包括输入频率和输出频率。以及输入输出抽头。点击next。





点击Generate。



在工程向导窗口的Hierarchy标签页下,就出现了my_pll.xco的文件。




此时my_pll的ip core建立完成。


  • pll_test设计实现

此模块负责调用my_pll,并且将外部复位进行取反,产生供给my_pll模块的复位信号。


my_pll的例化文件,在my_pll文件夹内,my_pll.veo为例化文件,打开后,可以直接复制。


设计代码为:




  • RTL仿真



仿真代码为:






在RTL仿真图中,可以看到,复位结束后,PLL并没有立刻锁定,而是输出不确定,等待一段时间后,PLL输出锁定,稳定的输出我们想要的波形。在复位期间,PLL输出的波形都是低电平。


  • 板级测试

分配管脚,综合下板后,使用示波器测量。



100MHz的方波测试的波形。




10MHz方波测试波形。







使用特权

评论回复

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

179

主题

210

帖子

6

粉丝