打印

对Xilinx FPGA 中FIFO的仿真

[复制链接]
1787|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
gaochy1126|  楼主 | 2012-7-5 19:19 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
<?xml:namespace prefix = v ns = "urn:schemas-microsoft-com:vml" /><?xml:namespace prefix = o ns = "urn:schemas-microsoft-com:office:office" />


wr_ack1未响应~在clk上升沿,首先检查wr_en是否为1,若为1,才能将din端口的数据写进去。若wr_en也是在clk的上升沿置位的,且维持一个周期高电平,则数据读不进去。 (感觉这句话好像不对,因为后面的仿真结果证明可以写进去)

上面这两个图没有读进去的原因应该是写和复位信号之间的时间太短,导致出现了overflow11的情况。但是实际情况下都存在不同程度的延时,为确保数据能够读进去,最好的办法是wr_en高电平持续两个时钟周期(这种情况下wr_enclk上升沿置1),或者在clk下降沿置1,持续一个周期。









wr_ack
信号响应,说明数据读进了FIFO中。

WR_ACK Output
Write Acknowledge: This signal indicates that a write request
(WR_EN) during the prior clock cycle succeeded.
OVERFLOW Output
Overflow: This signal indicates that a write request (WR_EN) during
the prior clock cycle was rejected, because the FIFO is full.
Overflowing the FIFO is non-destructive to the contents of the FIFO

相关帖子

沙发
捡漏王子| | 2012-7-5 20:18 | 只看该作者
好像图挂了,重新编辑下吧

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

1030

主题

11276

帖子

25

粉丝