library ieee;<br />use ieee.std_logic_1164.all;<br />entity fana is<br /> port(a:in integer range 0 to 10204;<br /> clk:in std_logic;<br /> q:out std_logic);<br />end fana;<br />archirecture fan_arc of fana is<br />begin<br /> process(clk)<br /> variable b,d:std_logic;<br /> variable c:integer range 0 to 10204;<br /> begin<br /> if clk'event and clk='1' then<br /> if b='0' then<br /> c:=a;<br /> b:='1';<br /> else<br /> if c=0 then<br /> b:='0';<br /> d:=not d;<br /> else<br /> c:=c-1;<br /> end if;<br /> end if;<br /> end if;<br /> q<=d;<br /> end process;<br />end fan_arc; <br />这个程序是实现歌曲播放的一个模块,输入a[13..0]的值由前面一个控制每个音长短的模块送给,但我看不懂这个控制音高的程序,请高人指点一下啊! |
|