Image
Image

ZHOUNACHU

+ 关注

粉丝 1     |     主题 2     |     回帖 65

长沙时中电子
宽带直流放大器如何做,请教各位高手!
2010-10-31 12:23
  • 竞赛专栏
  • 14
  • 5815
  应该是为了端接的缘故,,  
求教电压基准源受影响是怎么回事??
2010-4-27 14:32
  • 模拟技术论坛
  • 30
  • 7890
  应该在TG和第10引脚之间加一个100欧姆的电阻,所受的影响可能是由于输入偏置电流引起的,, ...  
〓◆ PCB相关工艺规范 65册 212M ◆〓 , 大花几束,重整版
2018-8-4 00:23
  • 电子技术交流论坛
  • 98
  • 42868
  还没看就觉得是好东西,,  
发一本铃木雅臣的《晶体管电路设计》上
2013-12-11 14:38
  • 模拟技术论坛
  • 22
  • 5371
  hao shu  
大家看下这个电路?
2010-4-16 23:10
  • 模拟技术论坛
  • 8
  • 3265
  我觉得楼主还得在Q1的射极加上一个电阻,你实际电路中的输入信号可能导致Q1、Q2同时导通了,可以试一下看看 ...  
FPGA中的VREFB管脚
2010-4-26 15:36
  • FPGA论坛
  • 1
  • 4713
  VREFB可以用作普通的I/O端口,也可以接上一个参考电压,,  
为什么要学FPGA?
2011-10-29 23:44
  • FPGA论坛
  • 27
  • 8295
  顶11楼,,  
请高人帮帮分析这段小程序
2010-4-24 22:49
  • FPGA论坛
  • 4
  • 2714
  :L怎么这个QQ表情老是在那个位置啊,,  
  但是要把QQ表情那里修改一下,他遮住了几个字母,完整的是: Code_out:out std_logic_vector(31 downto 0) ...  
  我帮你编译了一下,没有错误了: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.a ...  
我有个想法关于过零检测电路
2010-4-28 22:56
  • 电子技术交流论坛
  • 8
  • 3814
  不行,你整流桥出来的电压纹波太大,精度不达标,容易导致光耦的误触发,, ...  
运放的问题。
2010-4-27 08:31
  • 电子技术交流论坛
  • 13
  • 2673
  还有,你这个运放的电源电压要加大一些,否则容易进入非线性区,,  
  Vout是VIN1、VIN2之间的相位差的函数,要写出该电路的传递函数才能讨论是否对输出有影响,, ...  
两个电阻并联,有什么作用?
2010-6-8 00:26
  • 模拟技术论坛
  • 35
  • 9470
  可能是跟那种电阻的寄生电容有关,为了给电路中的高频信号多提供一个分流通路,以此改善信号质量,, ...  
FPGA引脚直接接6.25V
2010-4-28 09:38
  • FPGA论坛
  • 8
  • 3703
  接6.25V的话势必会增大你系统的动态功耗的,但是有一个好处就是输入电平之间的噪声容限更大,电路运行会更 ...  
电源模块发出嘶嘶声音,为什么
2010-5-1 19:28
  • 模拟技术论坛
  • 1
  • 3179
  5V电源直接接在CPLD上? 你把它转成3.3V看看,看看是不是这个问题。 单片机的P0端口加了上拉电阻没有? 最 ...  
散50分,只为请教一个问题,,
2011-11-15 12:35
  • FPGA论坛
  • 49
  • 8323
  最近想确定下来专心学一种语言(Verilog or VHDL),只是不知如何选择,在这里我特地散分,以求过来人的观 ...  
中断未发生,时间未到,单片机要去做其他事情,怎么办?
2010-7-2 16:40
  • 侃单片机论坛
  • 16
  • 3717
菜鸟第一次发贴请教关于按键的问题!
2010-7-1 11:13
  • 侃单片机论坛
  • 4
  • 2071
2
3
近期访客