打印
[verilog]

Verilog语言的编写。

[复制链接]
814|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
魔人布欧|  楼主 | 2016-4-6 22:01 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
C语言中的多重for循环该怎么去写啊。
void main(short int** pd,int* nse,int il,int jl)

{
        
        int i,j,k,l;
        
        double avg,temp;
        
    for(i=1;i<15;i++)
      {

        for(j=1;j<15;j++)
         {
            avg=0;
                        
            temp=0;

            for(k=-1;k<=1;k++)
               {
                                
                  for(l=-1;l<=1;l++)
                      {
                                       
                         avg+=(double)pd[i+k][j+l];
                                
                       }
                        
                }
         avg=avg/((double)sn*sn);
            for(k=-1;k<=1;k++)
               {
                                
                  for(l=-1;l<=1;l++)
                      {
                                       
                         temp+=((double)pd[i+k][j+l]-avg)*((double)pd[i+k][j+l]-avg);
        
                       }
        
                }  
各位高手提点意见或者帮忙写一下。谢谢。

相关帖子

沙发
turmary| | 2016-4-9 17:17 | 只看该作者
本帖最后由 turmary 于 2016-4-9 17:22 编辑

写不了 算了吧
光是一个浮点数综合都不行的

使用特权

评论回复
板凳
llf021421| | 2016-4-12 10:12 | 只看该作者
可以写,但是麻烦

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

20

主题

43

帖子

1

粉丝